当前位置:文档之家› 频率特性测量仪

频率特性测量仪

频率特性测量仪
频率特性测量仪

频率特性测试仪

作者:李知周张辉全杨光义

赛前及文稿指导老师:黄根春

摘要

本系统由数字式移相信号发生器、相位测量仪、移相网络、阻容双T网络、扫频信号源和键盘显示等部分组成。其中,数字式移相信号发生器基于DDS原理,产生双路输出;相位测量部分采用脉冲记数法测量相位;频率测量采用等精度测量法;扫频部分通过控制频率控制字K产生扫频信号,从而得到阻容双T网络的频率特性(包括幅频特性和相频特性);系统还能做到LCD显示和示波器显示,人机界面友好。

本设计以单片机(A T89S52)+FPGA作为控制核心,将两者有机结合,充分发挥了两者的性能。由FPGA来产生产生正弦波,具有产生信号频率准确,分辨率高,电路可靠等特点;由单片机进行数据处理和键盘显示。这样做可使电路大为简化,不仅能够充分发挥大规模可编程逻辑器件的特点和优势,而且测量的稳定性大大提高。

目录:

一、方案论证与选择 (3)

1、数字式移相信号发生器 (3)

2、相位测量仪 (4)

3、频率测量 (5)

4、幅度测量 (6)

5、频率特性测量 (6)

二、系统实现和理论分析 (7)

1、系统总体框图 (7)

2、移相网络理论分析与计算 (7)

3、DDS相关计算 (8)

4、相位测量相关计算 (9)

5、双T网络参数计算 (10)

6、幅度对相位的影响 (12)

三、主要功能电路的设计 (12)

1、数字式移相信号发生部分 (12)

2、相位测量部分: (13)

3、频率测量部分 (16)

4、幅度测量部分 (16)

5、阻容双T网络 (17)

6、扫频信号输出部分 (17)

7、示波器显示部分 (18)

四、系统软件的设计 (19)

1、软件设计指导思想 (19)

2、软件完成的功能 (19)

3、流程图 (20)

五、测试数据与分析 (20)

1、测量仪器及型号 (20)

2、测量数据 (20)

3、误差分析 (23)

六、总结分析与结论 (23)

一、方案论证与选择

1、数字式移相信号发生器

方案一:单片函数发生器;利用单片函数发生器配合外部分立元件输出频率,通过调整外部元件可改变输出频率,但发生器输出频率稳定度差、精度低、抗干扰能力低、灵活性差,成本也高。故不采用。

方案二:锁相频率合成;数字锁相频率合成器的基本原理框图如图1:

图1 锁相频率合成框图

通过改变程序分频器的分频比,则可改变压控振荡器的输出频率fo,从而获得大量可供利用的频率稳定度等同于参考频率的频率点,这里输出频率fo只能以参考频率fr为步长进行变化。为能得到较多的频率点,一是降低参考频率fr的值,但锁相环路本身特性决定,某点频率达到稳定所需的时间为参考频率对应周期的25倍,fr的降低会增加稳定所需的时间。二是增加程序分频器的分频系数,但分频系数太大时电路上难以实现。故不采用。

方案三:数字频率合成(DDS);DDS的基本原理框图如图2:

图2 DDS原理框图

其基本原理是:根据定频信号的相位变化与时间呈线性关系,相位变化率为常数且不同频率信号的相位变化率也不同的特性,设计相位累加器实现频率合成,不同的频率对应不同的相位累加步进量。在系统时钟频率的推动下,相位累加器通过存储器中预存的波形查表,得到输出频率的离散化振幅值;经过DAC 数模变换以后得到连续的量化振幅量,再经过低通滤波器LPF得到所需频率的模拟信号。

利用相位累加器产生正弦波的过程如下:每个系统时钟到来,相位累加器值便按照频率控制字K 的长度被增加一次,所得的相位值被输出至正弦查找表,查找表将相位信息转化为相应的正弦幅度值。再经过数模转换器得到相应的阶梯波,最后经过低通滤波器对阶梯波

f)和相位累加器位数一进行平滑,即得到连续变化的模拟输出波形。在系统时钟频率(

clk

定的情况下,输出波形频率由频率控制字K 决定。

具体实现过程是:将输出波形的一个完整周期幅度值按相位步进顺序量化存储于 EEPROM 中,利用相位累加技术生成地址查询高速存储器中存储的波形幅值,再以均匀速率把这些样本输出到数模转换器变换成模拟信号,由一个周期输出样本个数决定输出频率的大小。

DDS 可以高精度与高纯度的频率信号,它的优点是:理论上只要累加器的位数足够多,可以实现任意小的频率步进。频率分辨率很高,离散输出已十分接近连续变化。对相位累加器预置累加初值可以很方便地实现精密相位调节。

鉴于以上分析,我们采用方案三。

2、 相位测量仪

方案一:相位-电压转化法;两个频率相同,相位不同被测正弦信号,经限幅放大和脉冲整形后变成两个方波,在经微分得到两个对应被测信号负向过零瞬间的尖脉冲,利用非饱和型高速双稳态电路被这两组负脉冲所触发,输出周期为T 、宽度为T X 的方波,若方波幅度为Ug ,则此方波的平均值即直流分量为:

x

O g

T U U T

= 因此,用低通滤波器将方波中的基波和谐波分量全部滤除后,输出电压即直流电压O U 。上式中T 为被测信号的周期,T X 由两信号的相位差x ?决定。T X 与x ?的关系为:

22360x

x x x x T T T w

f ????

ππ=

=

=?=??

360x x T T ?

=?

故有: 360x

O g

U U ?=?

若A/D 的量化单位取为Ug/3600,则A/D 转换结果即为x ?的度数。

方案二:相位-时间转化法;首先将两个频率相同,相位不同的正弦信号通过过零比较器转变方波信号,然后进行异或运算,产生脉宽为T O 、周期为T 的另一方波,若计算时钟脉冲周期为CP T ,则在T X 时间内的计数数值为:

360O x O CP CP

T T M T T ?=

=?? 故有: 360CP

x O

MT T ?=

?? 即为相位差的度数。 分析与比较:纵观上述两种方案,方案一是将相位差信号转变为电压信号进行测量,对单一频率信号的相位检测时,相位差信号与电压信号之间存在唯一对应关系,电压的高低反

应了相位的大小,但当输入的信号频率在一定范围可变时,此方法不适用。原因有二:一是相位变化会引起输出电压信号O U 的变化,二是频率变化也会影响输出信号O U 的变化。所以对于20Hz-20KHz 范围内的信号检测系统的输出O U 与被测信号的相位之间不存在唯一对应关系。方案二是将相位差信号转变为时间信号进行测量,相位差与(T X /T)之间始终存在一一对应关系,因此不管频率如何变化,只要测量出(T X /T)大小,相位差的大小也就确定了。

因此我们采用方案二。

3、 频率测量

方案一: 非等精度测量法;非等精度测量又叫脉冲填充法,其基本原理是用已知频率的基准信号(

0f )对待测信号(x f )的高电平部分或低电平部分进行计数,设计数值为N ,

则待测信号的频率为:

N f f x 2/0=

但这种方案的精度不恒定的,因为计数时存在一个脉冲的误差,即N 有N +1和N -1两种情况,这样

x f 的误差会随N 的大小有较大的变化,N 越小即x f 越大时,x f 的误差就越

大,故这种方案只适用于测量远小于0f 的信号频率,其优点是硬件开销小,只需对0f 计

数。

方案二: 等精度测量法;在预定的闸门时间T0内,分别用计数器1和计数器2同时对被测信号

x f 和基准信号0f 进行计数,设所得值为x N 和0N ,则被测信号的频率为:

00/N f N fx x ?=

基准源0f

待测源x f

闸门 T0 计数器0N

计数器x N

图3 等精度测量法原理图

在测量中,闸门的开启和关闭都由被测信号的上升沿(或下降沿)来控制,因而与x

N

的计数保持同步,因而x N 不存在误差,但是对于基准信号0f 来说,闸门的开启和闭合仍然是随机的,因而0N 存在±1的误差,测频的最大相对误差为:

)//(/0000f f N N f f x x ?±?±=?

由上式看出,测频精度与被测信号频率没有关系,只要0N 和0f 足够大,系统可以满足很高的精度要求。因此,参考计数器的最高计数频率的限制,选取合适的基准信号频率和恰当的闸门开启时间,便可以在0.1Hz~16MHz 的范围内使测频精度不变,即等精度测量。

我们采用40MHz 晶振产生基准信号,闸门时间控制为1s ,完全可以满足测量精度<5%的要求。

从上述分析可见,等精度测量法明显优于非等精度测量,故选择该方案。

4、 幅度测量

方案一:采用高速AD 对电压进行采样,将一个周期内的数据输入单片机,并找出其最大值作为幅值,即可实现测量。

此方案具有抗干扰能力强、设计灵活等优点,但明显精度不高,而且调试困难,增加了软件难度。

方案二:采用峰值检波器实现峰值测量,峰值检波器将被测网络的输出信号的峰值检出,再送入A/D 转换器转换为数字信号进行显示。

由于二极管I-V 特性的非线性,这种方法测量误差偏大;而且,电路明显比较复杂。 方案三:采用集成真有效值变换芯片,测量被测信号的真有效值,然后换算为幅值。这样可以实现对正弦波的幅值测量。

鉴于以上分析,我们采用方案三,真有效值变换芯片选用AD637。AD637是一块高精度单片真有效值转换器,可以计算各种复杂波形的真有效值,采用了峰值系数补偿,量程在0~7V 范围内可调,在测量峰值系数高达10的信号时附加误差仅为1%。而且外围元件少,频带宽,频带宽度在2V 输入时可达8MHZ 。该方案硬件、软件都很简单,而且精度很高,效果理想。

5、 频率特性测量

频率特性是一个系统(或元件)对不同频率正弦输入信号的响应特性。如图4所示:

)

图4 频率特性框图

被测系统输入幅值为r A 、角频率为w 的正弦信号。如果该系统是线性的,则其稳态输出也是正弦信号,频率w 不变,幅值为c A ,相角差为Φ。改变ω可以得到一系列输入和输出数据。

输出对输入的幅值比()/c r A w A A =与ω的关系曲线称为该系统的幅频特性,通常取

20lg ()A w 称为对数幅频特性;输出对输入的相角差()w Φ与ω的关系曲线称为该系统的相

频特性。幅频特性和相频特性综合称为频率特性,常用的是系统的开环频率特性即波特图。

测量频率特性的方法有点频法和扫频法。点频法是逐点测量幅频特性或相频特性的方法,逐点测量操作繁琐,且频率离散而不连续,容易遗漏某些特性突变点,因此,频率特性测量常用扫频法。扫频法克服点频法缺陷,在测试过程中,使测试信号源的频率按特定规律自动连续并且周期性重复,利用相关电路将通过网络后的输出幅值和相位送到示波器上显示,就可得到被测电路的幅频和相频特性。

我们通过改变DDS 的频率控制字K 来改变输出频率,连续地改变频率控制字K ,就可以得到连续变化的输出频率,从而达到扫频信号的目的。

二、系统实现和理论分析

1、 系统总体框图

整个系统实现框图如图5:

图5 系统总体框图

整个系统的设计本着这样的原则:尽量发挥FPGA 稳定、可靠、可编程的特点,让FPGA 做到更多的功能,从而减少模拟部分的工作,进而使整个设计更加完美可靠。

2、 移相网络理论分析与计算

相位超前RC 网络: 相位滞后RC 网络:

图6(a ) 图6(b )

1

11

1

R Ua Ui R jwC =+

22211jwC Ub Ui R jwC =

+ 他们对应的相频特性分别为:

11

1

()tan

a w wR C Φ= 22()tan

b w wC R Φ=-

题目要求连续移相范围:45~45-??,即要求45,45a b Φ=?Φ=-?。也就是要求

2211

1

1,1wC R wR C ==

先选取电容C 1=44nF ,C 2=44nF ,分别令100,1,10w Hz KHz KHz =,算得参数如下:

根据输入频率的变化,三种参数用跳线手动选择。

3、 DDS 相关计算

在相位累加器字长一定的情况下,改变频率控制字K 或者参考时钟clk f ,可以改变输出频率out f ,它们之间的关系为:

/2N out clk f K f =? 其中,N 为相位累加器位数。

当频率控制字K=1时,可得DDS 的最低输出频率为:/2N out clk f f ?=,此即DDS 的频率分辨率。

DDS 的频率稳定度由其输入累加器的频率稳定度决定,我们使用了晶振,其频率稳定度达到了10-6。

(1)、移相信号发生器部分DDS :因为输出级D/A 转换器DAC0800的建立时间为100ns ,

那么时钟频率clk f 不应大于10MHz ,我们取时钟频率clk f =8.388608MHz ;相位累加器N=23bit ,那么频率分辨率out f ?=8.388608×106/223=1Hz 。

为实现相位差精度达到0.1?,正弦波表点阵数据总长为360103600?=,实际我们取4096bit ,位数为12位。当输出最高频率20KHz 时,一个周期内的采样点数为400,保证了相位差步进1?。

(2)、扫频信号部分DDS :由于FPGA 片内资源丰富,为保证足够的扫频精度,我们取参考时钟为40MHz 。通过控制频率控制字K 的变化范围,完全可以满足DAC0800的速度要求。

4、 相位测量相关计算

设基准信号:11()sin u t E wt =,测量信号:22()sin()u t E wt ψ=-,1()u t 过零的时刻为1t ,2()u t 过零的时刻为2t 令12()0,()0u t u t ==可得:

12wt wt n ψπ=-= 所以相位差12()360w t t T

τ

?ψ==-=

??

式中相位差脉冲宽度12t t τ=-,T 为周期。

上式表明两个同频正弦信号的相位差与相应的两个正弦信号过零的时间差成正比。换句话说,两个同频正弦信号的相位差可以用它们相应的过零点的时间差来表征。此时间差,可以采用脉冲记数法来测量。

被测信号和基准信号由 FPGA 利用等精度法测得频率,设被测信号的频率为0f ,基准时钟的频率为CP f , 被测信号鉴相后,由得到的相位差脉冲宽度τ控制计数器计数,其计数值设为M ,则被测信号的相位差为

0360CP

f M

f ??=

?? 将所测的 M 、0f 数据送往单片机,利用单片机进行浮点运算,得出两路信号相位差。在FPGA 测量相位差时,利用单片机控制测量两路信号频率的闸门时间,同时测量数据与单片机进行数据传送,最终实现显示测得的相位差。

(1)、误差计算:若让计数器在1秒内累计记数,则累计数10M Mf =,上式可以写为:

1

360CP

M f ?=

?? 其测量误差??为: 1

360CP

M f ???=

?? 因为1100,1CP f MHz M =?=±,故 6

6

1360 3.61010010

?-±?=

??=±??? 2??? ,完全满足要求。

(2)、分辨率计算:数字移相信号发生器的频率范围为:20Hz~20KHz ,相位测量仪的分辨率为0.1?,相位差测量范围为:0~359?,因此要求计数器的时钟频率至少为:clk f =20K ×360×10=72MHz ,我们取CP f =100MHz ;由于计数器分辨率为±1,因而对应最小相位分辨率(0f =20K 时)为:

3

6

36020100.07210010

?????==?? 满足分辨率0.1?的要求。

(3)、频率范围计算:为保证0.1?的相位分辨率,可测最大频率为:

6

10010272036010

f KHz KHz ?=

≈>? 可测最小频率为1Hz 。满足题目频率范围20~20Hz KHz 的要求。

5、 双T 网络参数计算

为了提高Q 值,我们采用有源双T 网络。在Multisim8.0中构建电路图如下(图中的有些参数经过了调整):

图7 双T 网络仿真电路图

有关参数计算:

题目要求:中心频率为5KHz ,带宽50Hz ±,所以有:3100dB BW Hz =

3

0351050100

dB f Q BW ?===

取C1=C2=0.01F μ,则320C nF =,1238

011

3.1832251010

R R k f C ππ-==

==Ω??? 511

2

R R =

,取2K 的滑阻进行调节。 11110.9954450

k Q =-

=-=?,所以34(1)10,1990R k R R kR =-=Ω==Ω(R 取2k Ω) 仿真运行后的结果如图8、9所示: 对数幅频特性:

图8 幅频特性曲线

对数相频特性:

图9 相频特性曲线

理论上完全满足要求。

6、 幅度对相位的影响

在测量具有相同频率f 的两个周期信号之间的相位差时,如果这两个信号的幅值不同,会直接影响到测量的精度。正弦波输入信号通过过零检测器后,输入和输出过零点之间延迟时间s t τ为:

s t τ=

式中0G 为过零检测器的直流增益,1P f 是第一个响应极点,f 为信号频率,P V 是信号幅值。

间也不相等,导致计算相位差时产生误差。幅值相差越大,误差越大。为减小该误差,可在测量这两个信号的相位差之前,先将大幅度信号衰减,或小幅度信号放大,使二者幅值大致相等。我们采取的措施是放大。

三、 主要功能电路的设计

1、数字式移相信号发生部分

我们直接将波形量化后的数据固化到FPGA 生成的存储器中,存储4K(4096)个波形点对应的幅度数据,同时由可编程逻辑器件(FPGA )产生的地址译码器进行寻址,产生的数据送入D/A 转换器(DAC0800)和I/V 转换器(LF356)中,从而得到所需频率的正弦波信号。

两路信号均通过DDS 产生,对其中一路的频率控制字预置偏移,就可以输出两路具有相对相移的信号。具体电路图如下: (1)、FPGA 内部图如图10

(2)、D/A转换部分(只画出了一路)

图11 DDS输出D/A转换部分电路图

2、相位测量部分:

(1)、前级信号处理

由于输入的两路信号幅度不确定(峰-峰值范围为0.3~5V),两者相差太差会给相位测

量带来误差(前面已经分析),而且正弦波边沿不够陡峭,另外,FPGA测频测相都是相对

TTL电平而言的,因此我们必须对输入信号进行放大整形。

信号处理由射随、信号放大、整形限幅、过零比较、电平转换五部分组成。

各部分功能如下:

≥Ω的要求。

1.射随:满足输入阻抗100k

2.信号放大:对输入小信号放大10倍,减小过零比较的误差

3.整形限幅:由运放组成一个过零比较电路,对信号进行初步整形。限幅电路采用一

个稳压二极管,将幅度固定在6.3V左右,进一步减少两路信号之间的电压差,从而进一步减少相位误差。

4.过零比较:用LM311对信号再次进行过零比较,使输出方波信号更加稳定、陡峭。5.电平转换:将比较器输出电压转换成TTL电平,满足FPGA的电平要求。

具体电路如图12:

(2)、FPGA 内部测量部分

信号经过前级处理后得到的方波,输人异或鉴相器,鉴相器的输出再跟输入1相与,将相与结果作为门控信号控制计数器在一定个数周期的时间内计数,通过单片机读出,并计算出相位差值。图中D 触发器用于判断i U 与o U 的相位关系,当Q 为1时,i U 超前于o U ,当Q 为0时,i U 滞后于o U 。FPGA 内部生成图略。原理框图如图13:

信号1信号2

图13 FPGA 测相原理图

(3)、时序波形图

方波信号输入异或鉴相器,其输入输出关系如图14所示:

图14 时序波形图

3、频率测量部分

频率测量采用等精度测量法,整个测量电路由FPGA 来完成。FPGA 内部图如图15:

图15 FPGA 内部测频顶层映射原理图

4、幅度测量部分

双T网络输出电压经AD637测出真有效值,然后用MX574采样有效值电压,再由单片级系统处理,算出幅值,并送显示。电路如图16:

图16 AD637 典型接法图

MX574是美国MAXIM公司生产的12位高速A/D转换芯片,转换时间为25s ,与AD574具有兼容性能,采样部分采用其典型电路,电路图略。

5、阻容双T网络

针对前面的理论分析与计算,我们设计了下面的有源双T网络,经过实际测量,其Q 值约为10。电路如图17:

图17 阻容双T网络

有源双T网络中引入的运算放大器起到了负反馈的作用,仿真和实测都发现,LM741的摆率很小,不能满足设计要求,换用AD844后,效果明显改善。

6、扫频信号输出部分

保持DDS输出信号幅度不变,通过控制频率控制字连续变化来实现频率连续变化,对

双T网络扫频,用AD637测量输出信号电压有效值,然后换算为幅值,并送示波器显示部分显示。为了保证DDS输出信号干净,我们在其输出前加了一级由LF356组成的低通,截止频率为300K。具体电路如下:

图18 扫频信号D/A转换及滤波部分电路图

7、示波器显示部分

本系统除能做到LCD显示外,还可以借助示波器实现曲线的显示,经单片机处理后的幅频、相频曲线信息加示波器Y轴,同时在示波器X轴加以与扫频信号频率成正比的锯齿波实现水平扫描,为了分别显示幅频和相频特性曲线,用叠加直流电平的方法使两种曲线显示在示波器荧光屏适当位置(示波器上方为幅频曲线,下方为相频曲线)。根据需要,亦可独立显示某一种曲线。

幅度、相位数据均取256bit,D/A转换采用DAC0800完成。电路图如下:

图19 示波器显示D/A 转换部分电路图

为了简化计算,我们没有将输出对输入的幅值比()/c r A w A A 送显,仅将输出电压

C A 作为幅频特性的显示。

对于显示,我们还扩展了光标显示功能。频率特性曲线的显示精度为8位,这往往不能满足我们对网络在某个频率点频率特性的观测要求,为使扫频结束后能查看网络在扫频区间的特性细节,我们设计电路时设置了光标功能。在每次刷新波形显示之后,额外地进行一次X 轴为定值,Y 轴变化的扫描,这样便形成了光标。通过人机界面,我们可以一格一格精细调节,也可以直接输入位置。

四、 系统软件的设计

1、软件设计指导思想

单片机的软件设计上,我们采用了软件工程的设计思想。在人机界面上,我们力求界面的反弹性,做到输入错误的保护,误操作的复原,操作的提示。这样,使得整个系统的操作变得十分的人性化。并且,我们还对软件进行了白核测试,与黑核测试,力求整个系统稳定、强壮。

2、软件完成的功能

单片机的软件主要实现了人机界面的交互。包括提示信息的显示,系统状态的选择,参数的输入,波形的显示,测量系统的启动与复位。这样,充分发挥了单片机易于智能化的特点,使得人机界面十分友好。

3、流程图

图20 软件流程图

五、测试数据与分析

1、测量仪器及型号

清华同方PC机(P4 1.7G 128M)

SG1733SB3A直流稳压稳流电源TDS1002数字示波器

Agilent33120A信号源伟福E51/S型仿真器

FLUKE17B型数字万用表

2、测量数据

电子频率计课程设计报告

物理与电子工程学院 课程设计 题目:简易频率计 专业 班级 学号 学生姓名 指导教师 数字频率计数器

电子工程师经常需要测量频率、时间间隔、相位和对事件计数,精确的测量离不开频率计数器或它的同类产品,如电子计数器和时间间隔分析仪。 频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。 频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。 衡量频率计数器主要指标是测量范围、测量功能、精度和稳定性,这些也是决定价格高低的主要依据。 关键词:频率计;数码管;锁存器;计数器;定时器

1课程设计目的 (1) 2课程设计的指标 (1) 3课程设计报告内容 (1) 3.1设计方案的选定与说明 (1) 3.1.1方案的设计与论证 (2) 3.2论述方案各部分工作原理 (3) 3.2.1时基电路 (3) 3.2.2计数器 (5) 3.2.3锁存器 (6) 3.3设计方案的图表 (7) 3.3.1设计原理图 (7) 3.4编写设计说明书 (8) 3.4.1设计说明 (8) 3.4.2性能技术指标与分析 (9) 4仿真结果 (10) 5总结 (11) 参考文献 (12) 附录 (13) 附录A 元器件清单 (13) 附录B 设计电路 (13)

频率特性测试仪(精)

频率特性测试仪 摘要:本频率特性测量仪以 MSP430单片机为控制核心,由信号源、被测双 T 网络、检波电路、检相电路及显示等功能模块组成。其中,检波电路、检相电路由过零比较器、鉴相器、有效值检波器、 A/D、 D/A转换器等组成;被测网络采用带自举功能的有源双 T 网络;同时本设计还把 FPGA 作为 MCU 的一个高性能外设结合起来, 充分发挥了 FPGA 的高速信号处理能力和 MCU 的复杂数据分析能力;通过DDS 可手动预置扫频信号并能在全频范围和特定频率范围内为自动步进测量, 在数码管上实现频率和相位差的显示, 以及实现了用示波器观察幅频特性和相频特性。 关键词:单片机; DDS ;幅频特性;相频特性 一、方案比较与论证 1. 方案论证与选择 (1系统总体方案描述 该系统以单片机和 FPGA 为控制核心,用 DDS 技术产生频率扫描信号,采用真有效值检测器件 AD637测量信号幅度。在 FPGA 中,采用高频脉冲计数的方法测量相位差,经过单片机运算,可得到 100 Hz ~100 kHz 中任意频率的幅频特性和相频特性数据, 实现在该频段的自动扫描, 并在示波器上同时显示幅频和相频特性曲线。用键盘控制系统实现各种功能, 并且在 LCD 同步显示相应的功能和数据。系统总体设计框图如图 1所示。

图 1 系统总体框图 (2扫描信号源发生器 方案一:采用单片函数发生器。其频率可由外围电路控制。产生的信号频率 稳定度低,抗干扰能力差,灵活性差。 方案二:采用数字锁相环频率合成技术。但锁相环本身是一个惰性环节, 频率转换时间长, 整个测试仪的反应速度就会很慢 , 而且带宽不高。其原理图如图 2所示: 图 2 PPl原理图 方案三:采用数字直接频率合成技术 (DDFS。以单片机和 FPGA 为控制核心 , 通过相位累加器输出寻址波形存储器中的数据 , 以产生固定频率的正弦信号。该方案实现简单,频率稳定,抗干扰能力强。其原理图如图 3所示:

线性系统的频率特性实验报告(精)

实验四 线性系统的频率特性 一、实验目的: 1. 测量线性系统的幅频特性 2. 复习巩固周期信号的频谱测量 二、实验原理: 我们讨论的确定性输入信号作用下的集总参数线性非时变系统,又简称线性系统。线性系统的基本特性是齐次性与叠加性、时不变性、微分性以及因果性。对线性系统的分析,系统的数学模型的求解,可分为时间域方法和变换域方法。这里主要讨论以频率特性为主要研究对象,通过傅里叶变换以频率为独立变量。 设输入信号)(t v in ,其频谱)(ωj V in ;系统的单位冲激响应)(t h ,系统的频率特性 )(ωj H ;输出信号)(t v out ,其频谱)(ωj V out ,则 时间域中输入与输出的关系 )()()(t h t v t v in out *= 频率域中输入与输出的关系 )()()(ωωωj H j V j V in out ?= 时间域方法和变换域方法并没有本质区别,两种方法都是将输入信号分解为某种基本单元,在这些基本单元的作用下求得系统的响应,然后再叠加。变换域方法可以将时域分析中的微分、积分运算转化为代数运算,将卷积积分变换为乘法;在信号处理时,将输入时间信号用一组变换系数(谱线)来表示,根据信号占有的频带与系统通带间的关系来分析信号传输,判别信号中带有特征性的分量,比时域法简便和直观。 三、实验方法: 1. 输入信号的选取 这里输入信号选取周期矩形信号,并且要求 τ T 不为整数。这是因为周期矩形信号具有丰富的谐波分量,通过观察系统的输入、输出波形的谐波的变化,分析系统滤波特性。周期矩形信号可以分解为直流分量和许多谐波分量;由于测量频率点的数目有限,因此需要排除谐波幅度为零的频率点,周期矩形信号谐波幅度为零的频率点是 Ω KT ,其中1=K 、2、3、… 。 图11.1 输入的周期矩形信号时域波形 t

自适应频率计设计说明书

自适应数字频率计 设 计 说 明 书 负责人:张赟颍 队员:黄蜀宾、熊华竞

目录 1、项目介绍................................................................................................................................ - 1 - 2、制作流程图............................................................................................................................ - 1 - 2.1 项目制作流程如下:................................................................................................... - 1 - 2.2 项目时间进度安排如下:........................................................................................... - 1 - 3、系统功能分析........................................................................................................................ - 2 - 3.1 系统的功能模块框图................................................................................................... - 2 - 3.2 分频模块....................................................................................................................... - 3 - 4.选频模块: ......................................................................................................................... - 5 - 5. 控制模块......................................................................................................................... - 7 - 6 数码管显示.................................................................................................................... - 13 - 7、软件设计.............................................................................................................................. - 13 - 7.1 软件流程图................................................................................................................. - 13 - 8.软件代码介绍......................................................................................................................... - 14 - 9、附件...................................................................................................................................... - 19 - 9.1 系统的原理图............................................................................................................. - 19 - 系统PCB图...................................................................................................................... - 20 -

简易频率特性测试仪毕业设计论文

题目简易频率特性测试仪 电子工程系应用电子技术专业应电二班

简易频率特性测试仪 摘要:简易频率特性测试仪是以51单片机为控制核心的一种测量频率的仪器,具有 较宽的可测试带宽。电路由正交扫频信号源、被测网络、混频器、低通滤波器、ADC以及液晶显示部分组成。正交扫频信号源AD9854采用DDS技术产生高稳定的频率、相位、幅度可编程调制的正弦和余弦信号。被测网络是一个RLC串联谐振电路,其前后分别添加电压跟随器和电阻网络使其与相邻电路电阻匹配。混频器采用性能高,功耗低的SA602A,将信号源输出的正余弦信号与经过被测网络出来的处理信号进一步处理,产生高频与低频两种信号。低通滤波器采用max274芯片过滤较高频信号,外接元件少,参数调节方便,也具有良好的抗干扰性。ADC选用AD8317外置,提高AD转换性能。整体电路实现了测量较高频率信号的频率测量及幅频特性与相频特性的显示。 关键词:DDS技术、中频正交解调原理、RLC振荡电路。 Abstract:Simple frequency characteristic tester is a metrical instrument which is operated by 51 single chip computer, It has a wide bandwidth. The circuit is composed of orthogonal frequency sweep signal source, the measured network, mixer, low-pass filter, ADC and liquid crystal display part. Orthogonal frequency sweep signal source AD9854 using DDS technology to produce frequency, phase, amplitude and high stability of the programmable modulation sine and cosine signal. The measured network is a RLC series resonant circuit, a voltage follower and the resistor network to match the adjacent circuit resistance respectively before and after adding the. The mixer uses high performance, low power SA602A, the sine and cosine signal source output and the processed signal measured network for further processing, to produce high and low frequency signal two. Low pass filter using MAX274 chip filter high frequency signals, less external components, easy to adjust the parameters, and also has good anti-interference performance. ADC use AD8317 external, enhance AD conversion performance. The whole circuit of the display frequency measurement and the amplitude frequency characteristic measurement of high frequency signal and the phase frequency characteristic. Keywords:DDS technology、Quadrature demodulation, RLC oscillating circuit.

简易频率特性测试仪

简易频率特性测试仪(E题) 2013年全国电子设计大赛 摘要:本频率特性测试仪由AD9854为DDS频率合成器,MSP430为主控制器,根据零中频正交解调原理对被测网络针对频率特性进行扫描测量,将DDS 输出的正弦信号输入被测网络,将被测网络的出口信号分别与DDS输出的两路正交信号通过模拟乘法器进行乘法混频,通过低通滤波器取得含有幅频特性与相频特性的直流分量,由高精度A/D转换器传递给MSP430主控器,由MSP430对所测数据进行分析处理,最终测得目标网络的幅频特性与相频特性,同时通过LCD绘制相应的特性曲线,从而完成对目标网络的特性测试。本系统具有低功

耗,成本低廉,控制方便,人机交互友好,工作性能稳定等特点,不失为简易频率特性测试仪的一种优越方案。 关键字:DDS9854,MSP430,频率特性测试 目录 一、设计目标 (3) 1、基本要求: (4)

2、发挥部分: (4) 二、系统方案 (4) 方案一 (5) 方案三 (5) 方案二 (5) 三、控制方法及显示方案 (5) 四、系统总体框图 (6) 五、电路设计 (6) 1、DDS模块设计 (6) 2、DDS输出放大电路 (7) 3、RLC被测网络 (8) 4、乘法器电路 (8) 5、AD模数转换 (9) 六、软件方案 (10) 七、测试情况 (11) 1、测试仪器 (11) 2、DDS频率合成输出信号: (11) 3、RLC被测网络测试结果 (12) 4、频谱特性测试 (12) 八、总结 (12) 九、参考文献 (12) 十、附录 (13) 一、设计目标 根据零中频正交解调原理,设计并制作一个双端口网络频率特性测试仪,包括幅频特性和相频特性。

系统频率特性的测试实验报告

东南大学自动化学院课程名称:自动控制原理实验 实验名称:系统频率特性的测试 姓名:学号: 专业:实验室: 实验时间:2013年11月22日同组人员: 评定成绩:审阅教师:

一、实验目的: (1)明确测量幅频和相频特性曲线的意义; (2)掌握幅频曲线和相频特性曲线的测量方法; (3)利用幅频曲线求出系统的传递函数; 二、实验原理: 在设计控制系统时,首先要建立系统的数学模型,而建立系统的数学模型是控制系统设计的重点和难点。如果系统的各个部分都可以拆开,每个物理参数能独立得到,并能用物理公式来表达,这属机理建模方式,通常教材中用的是机理建模方式。如果系统的各个部分无法拆开或不能测量具体的物理量,不能用准确完整的物理关系式表达,真实系统往往是这样。比如“黑盒”,那只能用二端口网络纯的实验方法来建立系统的数学模型,实验建模有多种方法。此次实验采用开环频率特性测试方法,确定系统传递函数。准确的系统建模是很困难的,要用反复多次,模型还不一定建准。另外,利用系统的频率特性可用来分析和设计控制系统,用Bode 图设计控制系统就是其中一种。 幅频特性就是输出幅度随频率的变化与输入幅度之比,即)()(ωωi o U U A =。测幅频特性时, 改变正弦信号源的频率,测出输入信号的幅值或峰峰值和输输出信号的幅值或峰峰值。 测相频有两种方法: (1)双踪信号比较法:将正弦信号接系统输入端,同时用双踪示波器的Y1和Y2测量系统的输入端和输出端两个正弦波,示波器触发正确的话,可看到两个不同相位的正弦波,测出波形的周期T 和相位差Δt ,则相位差0360??=ΦT t 。这种方法直观,容易理解。就模拟示波 器而言,这种方法用于高频信号测量比较合适。 (2)李沙育图形法:将系统输入端的正弦信号接示波器的X 轴输入,将系统输出端的正弦信号接示波器的Y 轴输入,两个正弦波将合成一个椭圆。通过椭圆的切、割比值,椭圆所在的象限,椭圆轨迹的旋转方向这三个要素来决定相位差。就模拟示波器而言,这种方法用于低频信号测量比较合适。若用数字示波器或虚拟示波器,建议用双踪信号比较法。 利用幅频和相频的实验数据可以作出系统的波Bode 图和Nyquist 图。 三、预习与回答: (1)实验时,如何确定正弦信号的幅值?幅度太大会出现什么问题,幅度过小又会出现什 么问题? 答:根据实验参数,计算正弦信号幅值大致的范围,然后进行调节,具体确定调节幅值时,首先要保证输入波形不失真,同时,要保证在频率较大时输出信号衰减后人能够测量出来。如果幅度过大,波形超出线性变化区域,产生失真;如果波形过小,后续测量值过小,无法精确的测量。

单片机简易频率计课程设计

前言 (3) 一、总体设计 (4) 二、硬件设计 (6) AT89C51单片机及其引脚说明: (6) 显示原理 (8) 技术参数 (10) 电参数表 (10) 时序特性表 (11) 模块引脚功能表 (12) 三、软件设计 (12) 四、调试说明 (15) 五、使用说明 (17) 结论 (17) 参考文献 (18)

附录 (19) Ⅰ、系统电路图 (19) Ⅱ、程序清单 (20)

前言 单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录像机、摄像机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械以及各种智能机械了。因此,单片机的学习、开发与应用在生活中至关重要。 随着电子信息产业的不断发展,信号频率的测量在科技研究和实际应用中的作用日益重要。传统的频率计通常是用很多的逻辑电路和时序电路来实现的,这种电路一般运行缓慢,而且测量频率的范围比较小.考虑到上述问题,本论文设计一个基于单片机技术的数字频率计。首先,我们把待测信号经过放大整形;然后把信号送入单片机的定时计数器里进行计数,获得频率值;最后把测得的频率数值送入显示电路里进行显示。本文从频率计的原理出发,介绍了基于单片机的数字频率计的设计方案,选择了实现系统得各种电路元器件,并对硬件电路进行了仿真。

一、总体设计 用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量. 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率f x。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s.闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 本系统采用测量频率法,可将频率脉冲直接连接到AT89C51的T0端,将T/C1用做定时器。T/C0用做计数器。在T/C1定时的时间里,对频率脉冲进行计数。在1S定时内所计脉冲数即是该脉冲的频率。见图1: 图1测量时序图 由于T0并不与T1同步,并且有可能造成脉冲丢失,所以对计数器T0做一定的延时,以矫正误差。具体延时时间根据具体实验确定。 根据频率的定义,频率是单位时间内信号波的个数,因此采用上述各种方案

自动控制原理学生实验:二阶开环系统的频率特性曲线

实验三 二阶开环系统的频率特性曲线 一.实验要求 1.研究表征系统稳定程度的相位裕度γ和幅值穿越频率c ω对系统的影响。 2.了解和掌握欠阻尼二阶开环系统中的相位裕度γ和幅值穿越频率c ω的计算。 3.观察和分析欠阻尼二阶开环系统波德图中的相位裕度γ和幅值穿越频率ωc ,与计算值作比对。 二.实验内容及步骤 本实验用于观察和分析二阶开环系统的频率特性曲线。 由于Ⅰ型系统含有一个积分环节,它在开环时响应曲线是发散的,因此欲获得其开环频率特性时,还是需构建成闭环系统,测试其闭环频率特性,然后通过公式换算,获得其开环频率特性。 自然频率:T iT K = n ω 阻尼比:KT Ti 2 1= ξ (3-2-1) 谐振频率: 2 21ξωω-=n r 谐振峰值:2 121lg 20)(ξ ξω-=r L (3-2-2) 计算欠阻尼二阶闭环系统中的幅值穿越频率ωc 、相位裕度γ: 幅值穿越频率: 24241ξξωω-+? =n c (3-2-3) 相位裕度: 4 24122arctan )(180ξξξω?γ++-=+=c (3-2-4) γ值越小,Mp%越大,振荡越厉害;γ值越大,Mp%小,调节时间ts 越长,因此为使 二阶闭环系统不致于振荡太厉害及调节时间太长,一般希望: 30°≤γ≤70° (3-2-5) 本实验所构成的二阶系统符合式(3-2-5)要求。 被测系统模拟电路图的构成如图1所示。 图1 实验电路 本实验将数/模转换器(B2)单元作为信号发生器,自动产生的超低频正弦信号的频率从低到高变化(0.5Hz~16Hz ),OUT2输出施加于被测系统的输入端r (t),然后分别测量被测系统的输出信号的开环对数幅值和相位,数据经相关运算后在虚拟示波器中显示。 实验步骤: (1)将数/模转换器(B2)输出OUT2作为被测系统的输入。 (2)构造模拟电路:安置短路套及测孔联线表同笫3.2.2 节《二阶闭环系统的频率特性曲线测试》。 (3)运行、观察、记录: ① 将数/模转换器(B2)输出OUT2作为被测系统的输入,运行LABACT 程序,在界面 的自动控制菜单下的线性控制系统的频率响应分析-实验项目,选择二阶系统,就会弹出虚拟示波器的界面,点击开始,实验开始后,实验机将自动产生0.5Hz~16H 等多种频率信号,等待将近十分钟,测试结束后,观察闭环对数幅频、相频曲线和幅相曲线。 ② 待实验机把闭环频率特性测试结束后,再在示波器界面左上角的红色‘开环’或‘闭

数字频率计的设计说明书

数显频率计设计任务书 ⑴硬件设计:根据任务要求,完成单片机最小系统及其扩展设计。 ⑵软件设计:根据硬件设计完成显示功能要求,完成控制软件的编写与调试; ⑶功能要求:用89C51单片机的定时器/计数器的定时和计数功能,外部扩展6 位 LED数码管,要求累计每秒进入单片机的外部脉冲个数,用LED数码 管显示出来。

目录 摘要............................................................................................................ .. (4) 1. 绪论............................................................................................................ . (4) 2. 设计要求及方案选 (6) 1.1 设计要求 (6) 1.2 方案选择 (6) 3.系统电路设计 (7) 3.1 基于单片机的数字频率计的原理 (7) 3.2 单片机的概述及引脚说明 (8) 3.3 单片机的最小系统 (9) 3.4 单片机的定时\计数 (9) 3.5 定时器\计数器的四种工作方式 (10) 3.6 主要程序段及软件流程图设计 (12) 3.6.1 流程图 (12) 3.6.2 源程序 (14) 结论............................................................................................................ (16) 致谢......................................................................................................... .. (17) 参考文献................................................................................................................. . (18) 附录........................................................................................................... .. (19)

自动控制原理实验-控制系统频率特性的测试..

实验四 控制系统频率特性的测试 1、实验目的 认识线性定常系统的频率特性,掌握用频率特性法测试被控过程模型的原理和方法,根据开环系统的对数频率特性,确定系统组成环节的参数。 2、实验装置 (1)PC586微型计算机。 (2)自动控制实验教学系统软件。 3、实验步骤及数据处理 (1)首先确定被测对象模型的传递函数G (S ),根据具体情况,先自拟三阶 系统的传递函数, )12)(1()(22221+++= s T s T s T K s G ξ,设置好参数K T T ,,,21ξ。 要求:1T 和2T 之间相差10倍左右,1T <2T 或2T <1T 均可,数值可在0.01秒 和10秒之间选择,ξ取0.5左右,K ≤10。 设置T1=0.1,T2=1,ξ =0.5,K=5。 (3)设置好各项参数后,开始作仿真分析,首先作幅频特性测试。 ①根据所设置的1T ,2T 的大小,确定出所需频率范围(低端低于转折频率小者10倍左右,高端高于转折频率高者10倍左右)。 所需频率范围是:0.1rad/s 到100rad/s 。 ②参考实验模型窗口图,设置输入信号模块正弦信号的参数,首先设置正弦信号幅度Amplitude,例如设置Amplitude=1,然后设置正弦频率Frequency ,单位为rads/sec 。再设置好X 偏移模块的参数,调节Y 示波器上Y 轴增益,使在所取信号幅度下,使图象达到满刻度。 ③利用Y 示波器上的刻度(最好用XY 示波器上的刻度更清楚地观察),测试输入信号的幅值(用2m X 表示),也可以参考输入模块中设置的幅度,记录于表7--2中。此后,应不再改变输入信号的幅度。 ④依次改变输入信号的频率(按所得频率范围由低到高即ω由小到大慢慢改变,特别是在转折频率处更应多测试几点,注意:每次改变频率后要重新启动Simulation|Start 选项,观察“李沙育图形” 读出数据),利用Y 示波器上的刻度(也可以用XY 示波器上的刻度更清楚地观察,把示波器窗口最大化,此时格数增多更加便于观察),测试输出信号的幅值(用2m Y 表示),并记录于表7--2 (本表格不够,可以增加)。注意:在转折频率,特别是11T 和21T 附近应多测几点。 由题意知传递函数的两个转折频率为1rad/s 和10rad/s,所以选取的频率为0.5rad/s 、0.7rad/s 、0.98rad/s 、0.99rad/s 、1rad/s 、1.2rad/s 、4rad/s 、7rad/s 、9rad/s 、9.8rad/s 、9.9rad/s 、10rad/s 、10.1rad/s 、10.2rad/s 、14rad/s 、20rad/s 、40rad/s 、80rad/s 、100rad/s 以下是在不同频率下李沙育图及幅频特性和相频特性的分析情况

电工电子技术课程设计说明书简易数字频率计设计

摘要 频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称基础时间为1秒。基础时间也可以大于或小于一秒。基础时间越长,得到的频率值就越准确,但基础时间越长则没测一次频率的间隔就越长。基础时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。本文数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。 关键词:数显、频率计、时基、protues仿真、555构成多谐振荡器 简易数字频率计的设计 数字频率计是直接用十进制数字来显示被测量信号频率的一种测量装置,

它不仅可以测量正弦波、方波、三角波和尖端冲信号的频率,而且还可以测量它们的周期。 频率,就是周期性信号在单位时间 (1s) 内变化的次数.若在一定时间间隔 T 内测得这个周期性信号的重复变化次数为 N ,则其频率可表示为 f=N/T 。原理框图中,被测信号 Vx经放大整形电路变成计数器所要求的脉冲信号Ⅰ,其频率与被测信号的频率fx相同。时基电路提供标准时间基准信号Ⅱ,其高电平持续时间t1=1s,当1s信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到1s信号结束时闸门关闭,停止计数。若在基础时间1S内计数器计得的脉冲个数为N,则被测信号频率fx=NHz。逻辑控制电路的作用有两个:一是产生锁存脉冲Ⅳ,使显示器上的数字稳定;二是产生“0”脉冲Ⅴ,使计数器每次测量从零开始计数。 1.电路设计方案及其论证

1-1 ICM7216D 构成数字频率计电路图 由ICM7216D 构成的数字频率计 由ICM7216D 构成的10MHZ 频率计电路采用+5V 单电源供电。高精度晶体振荡器和321R C C 、、构成10MHz 并联振荡电路,产生时间基准频率信号,经内部分频后产生闸门信号。输出分别连接到相应数码显示管上。ICM7216D 要求输入信号的高电平大于,低电平小于,脉宽大于50ns ,所以实际应用中,需要根据具体情况增加一些辅助电路。 优点:这个电路由于芯片集成度相对较高,所以电路设计较为简单,操作比较简单。而且精确度高。 缺点:对于芯片不太熟悉,而且由于集成度太高,缺少电路设计,仿真软件中并没有这个芯片。由于输出级需要相应的辅助电路,为电路设计带来很大麻烦。

简易频率特性测试仪论文

2013年全国大学生电子设计竞赛 简易频率特性测试仪(E题) 【本科组】 2013年9月6日

摘要 本实验以DDS芯片AD9854为信号发生器,以单片机STM32F103RBT6为核心控制芯片。系统由5个模块组成:正弦扫频信号模块,待测阻容双T网络模块,整形滤波模块,A/D转换模块及显示模块。先以单片机送给AD9854控制字产生1MHZ —40MHZ的扫频信号,经过阻容双T网络检测电路,两路路信号通过AD9283对有效值进行采集后进入单片机进行幅值转换,最终由TFTLCD显示输出。 ABSTRACT In this experiment, the DDS chip AD9854 as the signal generator, MCU STM32F103RBT6 as the core control chip, and with FPGA as auxiliary, and on the peripheral circuit to realize the detection of amplitude frequency and phase frequency. The system comprises 6 modules: signal sine sweep signal module, the measured resistance capacitance of double T module, filter module, A/D conversion module and display module. The first single-chip microcomputer to AD9854 control word generate sweep signal of 10MHZ - 40MHZ, the resistance and capacitance of double T detection circuit, two road signals are collected on the effective value through the AD9283 into the microcontroller to amplitude conversion, the LCD display output, finally to complete the amplitude frequency and phase frequency of simple test.

实验三-模拟一阶系统频率特性测试实验

实验三-模拟一阶系统频率特性测试实验

实验三模拟一阶系统频率特性测试实验 一、实验目的 学习频率特性的测试方法,根据所测量的数据,绘制一阶惯性环节的开环伯德图,并求取系统的开环传递函数。 二、实验内容 利用频域法的理论,从一阶系统的开关频率特性分析闭环系统的特性。根据给定的一阶频域测试电路,使用所给的元器件搭建实验电路。利用信号发生器所产生的正弦波作为输入信号,用数字存储示波器观察并测量系统在不同频率输入信号的作用下,输出信号的幅值和相位变化情况。 1.频域分析法原理 频率特性的频域分析方法是一种图解分析方法,它根据系统的开环频率特性去判断闭环系统的性能,能够方便地分析系统中的参数对系统暂态响应的影响,从而找到改善系统性能的途径。 实验表明,对于稳定的线性定常系统,输入正弦信号所产生系统输出的稳态分量仍然是与输入信号同频率的信号,而幅值和相位的变化则是频率ω的函数。

因此,定义正弦信号输入下,系统的稳态输出与系统的输入之比为系统的频率特性,并记为 ) ()()(ωωωj U j Y j G = 式中,)(ωj G —系统的频率特性;)(ωj Y —系统的稳态输出;)(ωj U —系统的正弦输入 对一个线性系统来说,在正弦信号的作用下,系统的稳态输出仍然是一个正弦函数,其频率与输入信号的频率相同,一般情况下,输出的幅值小于输入幅值,输出的相位滞后于输入相位。当输入信号的幅值不改变而频率发生变化时,输出信号的幅值一般会随输入正弦信号频率增加而减小;相位滞后角度一般都会随输入正弦信号频率的增加而增加。 一阶模拟环节电路图如下图所示 R610k R710k R3 10k 10k R815k R110k R2 10k C1 1uF U c(t) U r(t) 其中F 1为惯性环节;F 2为放大环节(放大倍数K=5.1)。 这个系统的传递函数为:

简易数字频率计设计-现代电子设计课程设计报告

河南科技大学 课程设计说明书 课程名称__现代电子系统课程设计__题目___简易数字频率计设计__ 学院___电子信息工程学院___班级_电子信息科学与技术091班_学生姓名_____李可以______指导教师__齐晶晶、张雷鸣___日期2012.12.21

课程设计任务书 (指导教师填写) 课程设计名称现代电子系统课程设计学生姓名李可以专业班级电信科091 设计题目简易数字频率计设计 一、课程设计目的 掌握高速AD的使用方法; 掌握频率计的工作原理; 掌握GW48_SOPC实验箱的使用方法; 了解基于FPGA的电子系统的设计方法。 二、设计内容、技术条件和要求 设计一个具有如下功能的简易频率计。 (1)基本要求: a.被测信号的频率范围为1~20kHz,用4位数码管显示数据,十进制数值显示。 b.被测信号为幅值1~3V的方波、脉冲信号。 c.具有超量程警告(可以用LED灯显示,也可以用蜂鸣器报警)。 d.当测量脉冲信号时,能显示其占空比(精度误差不大于1%)。 (2)发挥部分 a.修改设计,实现自动切换量程。 b.扩宽被测信号能测量正弦波、三角波。 c.其它。 三、时间进度安排 布置课题和讲解:1天查阅资料、设计:4天 实验:3天撰写报告:2天 四、主要参考文献 何小艇《电子系统设计》浙江大学出版社 2008.1 潘松黄继业《EDA技术实用教程》科学出版社 2006.10 齐晶晶《现代电子系统设计》实验指导书电工电子实验教学中心 2009.8 指导教师签字: 2012年 12月3日

摘要 频率计是数字电路中的一个典型应用,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,频率测量在科技研究和实际应用中的作用日益重要。数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA工具作为开发手段,运用VHDL语言。将使整个系统大大简化。提高整体的性能和可靠性。 在本文中,我们设计了一个简易数字频率计。主要分为如下几个部分: A/D模块:用硬件描述语言写一个状态机,控制ADC0809芯片正常工作,使输入的被测模拟信号经过ADC0809芯片处理,转化为数字信号。 比较整形模块:将A/D转换出来的数字信号通过比较,高于阈值的为1低于阈值的为0从而将八位数字信号转换为脉冲便于频率计算。 频率测量模块:常用的频率测量方法有很多。有计数法和计时法,等精度法等,具体的方案论证将在下面进行。 占空比计算模块:计算脉冲波占空比,具体的方案论证将在下面进行。 选择显示模块:由于只有四位数码管显示,所以用一个二选一选择器,通过一个按键控制四位数码管显示的内容是频率还是占空比。 关键词:数字频率计、模块、占空比、数字信号、测量、阈值

典型环节和系统频率特性地测量

课程名称:_________控制理论(甲)实验_______指导老师:_____ ____成绩:__________________ 实验名称:___典型环节和系统频率特性的测量___实验类型:________________同组学生:__________ 一、实验目的 二、实验原理 三、实验接线图 四、实验设备 五、实验步骤 六、实验数据记录 七、实验数据分析 八、实验结果或结论 一、实验目的 1.了解典型环节和系统的频率特性曲线的测试方法; 2.根据实验求得的频率特性曲线求取传递函数。 二、实验原理 1.系统(环节)的频率特性 设G(S)为一最小相位系统(环节)的传递函数。如在它的输入端施加一幅值为X m 、频率为ω的正弦信号,则系统的稳态输出为 )sin()()sin(?ωω?ω+=+=t j G Xm t Y y m 由式①得出系统输出,输入信号的幅值比相位差 )() (ωωj G Xm j G Xm Xm Ym == (幅频特性) )()(ωωφj G ∠= (相频特性) 式中)(ωj G 和)(ωφ都是输入信号ω的函数。 2.频率特性的测试方法 2.1 沙育图形法测试 2.1.1幅频特性的测试 由于 m m m m X Y X Y j G 22)(= = ω 改变输入信号的频率,即可测出相应的幅值比,并计算 m m X Y A L 22log 20)(log 20)(==ωω (d B ) 其测试框图如下所示:

图5-1 幅频特性的测试图(沙育图形法) 注:示波器同一时刻只输入一个通道,即系统(环节)的输入或输出。 2.1.2相频特性的测试 图5-2 相频特性的测试图(沙育图形法) 令系统(环节)的输入信号为:t X t X m ωsin )(= (5-1) 则其输出为 )sin()(φω+=t Y t Y m (5-2) 对应的沙育图形如图5-2所示。若以t 为参变量,则)(t X 与)(t Y 所确定点的轨迹将在示波器的屏幕上形成一条封闭的曲线(通常为椭圆),当t=0时,0)0(=X 由式(5-2)得 )sin()0(φm Y Y = 于是有 m m Y Y Y Y 2) 0(2sin )0(sin )(1 1--==ωφ (5-3) 同理可得 m X X 2) 0(2sin )(1 -=ωφ (5-4) 其中: )0(2Y 为椭圆与Y 轴相交点间的长度; )0(2X 为椭圆与X 轴相交点间的长度。 式(5-3)、(5-4)适用于椭圆的长轴在一、三象限;当椭圆的长轴在二、四时相位φ的计算公式变为 m Y Y 2) 0(2sin 180)(1 0--=ωφ 或 m X X 2)0(2sin 180)(10--=ωφ

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

相关主题
文本预览
相关文档 最新文档