当前位置:文档之家› 基于单片机的低频信号发生器设计..

基于单片机的低频信号发生器设计..

基于单片机的低频信号发生器设计..
基于单片机的低频信号发生器设计..

基于单片机的低频信号发生器设计

摘要

本文设计低频信号发生器,以AT89C52单片机为核心,通过键盘输入控制信号类型和频率的选择,采用DA转换芯片输出相应的波形,同时以示波器进行实时显示信号相关信息,采用汇编语言进行编程,可实现方波,三角波。锯齿波,正弦波四中波形的产生,且波形的频率可调。经测试该设计方案线路优化,结构紧凑,性能优越,满足设计要求。

关键词:单片机,DA转换,信号发生器

ABSTRACT

Low frequency signal generator design, this paper USES AT89C52 single-chip microcomputer as the core, through the keyboard input control signal type and frequency of choice, with DA conversion chip output corresponding waveform, at the same time, the LED display information real-time display signal, using assembly language programming, which can realize square wave, triangle wave. Production of sawtooth, sine wave 4 waveform, and the frequency of the waveform is adjustable. By testing the design scheme of circuit optimization, compact structure, superior performance, meet the design requirements.

Key Words:Single chip microcomputer, DA conversion, signal generator

目录

摘要 (1)

ABSTRACT ............................................... 错误!未定义书签。

1 设计要求及方案选择.................... 错误!未定义书签。

1.1设计要求 (3)

1.2方案选择 (3)

2 理论分析与设计 (4)

2.1按键电路的分析与设计 (4)

2.2 D/A转换模块的分析与设计 (5)

3 电路设计 (6)

3.1硬件电路的设计 (6)

3.2软件的设计 (11)

4 系统测试 (17)

4.1调试所用的基本仪器清单 (17)

4.2调试结果 (17)

4.3测试结果分析 (17)

5 总结 (17)

参考文献 (18)

1 设计要求及方案选择

1.1设计要求

(一)任务

利用单片机与D/A转换器件DAC0832设计一个低频信号发生器,能产生正弦波、方波、锯齿波、三角波等波形。

(二)要求

1.基本要求

(1)输出波形可用按键选择。

(2)设计电路

(3)在KEIL中编辑、编译、调试程序,并在protuse中仿真。

2.扩展部分(选作)

(1)输出正弦波幅度:Vppm≥20V.

(2)输出负载能力:≥250Ma。

3. 写出设计报告(报告有规定格式要求)

1.2方案选择

依据应用场合.需要实现的波形种类,波形发生器的具体指标要求会有所不同。依据不间的设计要求选取不同的设计方案。通常,波形发生器需要实现的波形有正弦波、方波、三角波和锯齿波。有些场合可能还需要任意波形的产生。各种波形共有的指标有:波形的频率、幅度要求,频率稳定度,准确度等。对于不同波形,具体的指标要求也会有所差异。其具体的实现方法具体有以下几种:

方案一:采用函数信号发生器ICL8038集成模拟芯片,它足一种可以同时产生方波、三角波、正弦波的专用集成屯路。但是这种模块产生的波形都不是纯净

的波形,会寄生一些高次谐波分量,采用其他的措施虽可滤除-些,但不能完全滤除掉。

方案二:釆用単片函数发生器(如8038).8038可以同时产生方波,三角波和正弦波等,而且方法简单易行,用D/A转换器的输出来调制电H压也可以实现数控调整频率,但是产生的信号频率的稳定性差。

方案二:可以由晶体管、运放IC等通用器件制作,更多的则采用专门的函数倍号发生器1C产生。早期的函数倍号发生器IC,如L8038、BA205、XR2207/2209等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生史高频率的信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。

方案四:釆用锁相式频率合成器,利用锁相环,将压控振荡器的输出频率锁定在所需要的频率上,该方案性能良好,但难以达到输出频率覆盖系数的要求,而且电路复杂不容易实现。

方案五:釆用单片机编程的方法来实现。该方法可以通过编程来控制波箱的频率和幅度,而且在硬件电路不变的情况下可以通过改变程序来实现频率的改变。该种方案要对AT89C52中片机的各个I/O口允分利用。这样总体来说,能对中片机各个接口都利用上,而不多用其它芯片,从而减小了系统的成本。

比较几种方案,方案一波形不理想,方案二所产生的频率信号不够稳定,方案二不能更好的体现所学知识而且效果不佳,方案四电路复杂不容易实现,方案五在低频范围内稳定性好、操作方便、功耗小、耗电少,而且方案更加满足设计的要求,在完成设计的同时能够更好的发挥间学们的各种各样的想法。综合考虑,方案五各项性能和指标都优于其他几种方案,能使输出频率有较好的稳定性,充分体现了模块化设计的要求,所以本设计采用方案五。

2 理论分析与设计

2.1按键电路的分析与设计

键盘电路是整个电路的控制部分。通过K1控制产生方波,K2控制产生三角波,K3控制产生正弦波,K4控制产生锯齿波。调幅与调频本应采用另连个键控制,但在分析DAC0832

的输出,U=(Vref/2n)*D,由此公式可知,输出波形的幅度与Vref的大小有关,所以我们通过控制Vref的大小来改变波形的幅度,以简化我们的程序。频率我们采用P2口控制,通过控制P2口的大小来改变程序中循环次数,来达到控制延时的目的,继而控制了频率。

键盘接口如下图

图2.1 键盘接口电路

2.2 D/A转换模块的电路分析与设计

数模转换电路采用的是DAC0832芯片。它是一种使用较多的8位D/A转换器,其转换时间lus,工作电压为+5V到+15V,基准电压-10V到+10V。由于其内部有两个8位寄存器和一个8位D/A转换器,故可进行两级缓冲操作,使操作有很大的灵活性,本设计采用单缓冲方式。

DAC0832与AT89C52的连接。单机P0口与数模转换器的数据口连接,为保证单片机P0口的驱动能力,在P0口加上上拉电阻。

数模转换电路的连接如下图:

图2.2 数模转换电路的连接图

3 电路设计

3.1 硬件电路的设计

3.1.1 硬件电路连接图

图3.1 硬件电路连接图

3.1.2 芯片说明

(1)AT89C52单片机

图3.2为AT89C52单片机引脚图

图3.2 单片机引脚图

AT89C51管脚说明:

VCC:供电电压

GND:接地

P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,

它可以被定义为数据/地址的笫八位。在FIASH编程时,P0口作为原码输入口,当FLASH进行校验时,P0输出原码,此时P0外部必须被拉高。

P1口:P1口是个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。PI口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,PI口作为第八位地址接收。

P2口:P2口为个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH 编程和校验时接收高八位地址信号和控制信号。P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。

RST:复位输入。当振荡器复位器件时,耍保持RST脚两个机器周期的高电平时间。

ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节,在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0,此时,ALE只有在执行MOVX,MOVC指令足ALE才起作用。此外,该引脚被略微拉髙。如果微处理器在外部执行状态ALE禁止,置位无效。

/PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。

/EA;VPP:当VEA持低电平时,则在:此期间外部程储器(0000H-FFFFH),不

管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;/EA端保持髙电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加I2V 编程电源(VPP)。

XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。

XTAL2:来自反向振荡器的输出。

(2)DAC0832转换器

图3.3为DAC0832引脚图

图3.3 DAC0832引脚图

由于单片机产生的是数字信号,要想得到所需要的波形,就要把数字信号转换成模拟信号,所以该文选用价格低廉、接口简单、转换控制容易并具有8位分辨率的数模转换器DAC0832。DAC0832主要由8位输入寄存器、8位DAC寄存器、8位D/A转换器以及输入控制电路四部分组成。但实际上,DAC0832输出的电量也不是真正能连续可调,而是以其绝对分辨率为单位增减,是准模拟量的输出。

DAC0832是电流型输出,在应用时外接运放使之成为电压型输出。

根据对DAC0832的数据锁存器和DAC寄存器的不同的控制方式,DAC0832有三种工作方式:直通方式、单缓冲方式和双缓冲方式。本设计选用直通方式。DAC0832的数据口和单片机的P0口相连。CSDA:片选信号输入线(选通数据锁存器),低电平有效;

WR:数据锁存器写选通输入线,负脉冲(脉宽应大于500ns)有效。由ILE、CS、WR1的逻辑组合产生LE1,当LE1为高电平时,数据锁存器状态随输入数据线变换,LE1的负跳变时将输入数据锁存

3.2 软件的设计

Keil是德国Keil公司出的目前世界上最好的51单片机的C语言开发工具[2],与汇编相比,C语言在功能、结构、可读性、可移植性上有明显优势,因此常用C语言开发符合实际工程需要的单片机系统,应用TCP/IP协议,Pro-teus 与Keil的联调很好地解决了Proteus自带的编译系统无法对C语言进行编译的问题。

程序设计采用汇编语言编程,应用Keil软件编译程序段。

在汇编语言设计中,采用实时扫描按键,检查是否有按键被按下,检测到按键按下单片机才去执行相关程序。程序设计代码如下:

ORG 0000H

LJMP MAIN

ORG 0100H

MAIN:JNB P1.0,PFB ;定义按键

JNB P1.1,PSJ

JNB P1.2,PZX

JNB P1.3,PJC

LJMP MAIN

PFB: MOV R7,#00H ;方波

LCALL SQU

LJMP MAIN

PSJ: MOV R7,#01H ;三角

LCALL TRI

LJMP MAIN

PZX: MOV R7,#02H ;正弦 LCALL SIN

LJMP MAIN

PJC: MOV R7,#03H ; 锯齿波 LCALL JCB

LJMP MAIN

SQU: JNB P1.1,N1

JNB P1.2,N2

JNB P1.3,N7

LJMP SSQU

N1: MOV R7,#01H

LJMP TC0

N2: MOV R7,#02H

LJMP TC0

SSQU:CJNE R7,#00H,TC0

MOV R0,#00H

K00: MOV P0,#0FFH

MOV P2,#0FFH

MOV A,P2

CPL A

MOV R3,A

L00: DEC R3

CJNE R3,#255,L00 INC R0

INC R0

CJNE R0,#254,K00

MOV R0,#00H

MOV P2,#0FFH

MOV A,P2

CPL A

MOV R3,A

L01: DEC R3

CJNE R3,#255,L01 INC R0

INC R0

CJNE R0,#254,K01

LJMP SQU

TC0: RET

TRI: JNB P1.0,N3

JNB P1.2,N4

JNB P1.3,N8

LJMP TTRI

JCB: JNB P1.0,N3

JNB P1.2,N4

JNB P1.1,N1

LJMP JJCB

N3: MOV R7,#00H

LJMP TC2

N4: MOV R7,#02H

LJMP TC2

N7: MOV R7,#03H

LJMP TC4

TTRI:CJNE R7,#01H,TC2 MOV R0,#00H

MOV P2,#0FFH

MOV A,P2

CPL A

MOV R3,A

L20: DEC R3

CJNE R3,#255,L20 INC R0

INC R0

CJNE R0,#254,K20 K21: MOV P0,R0

MOV P2,#0FFH

MOV A,P2

CPL A

MOV R3,A

L21: DEC R3

CJNE R3,#255,L21 DEC R0

DEC R0

CJNE R0,#0,K21

LJMP TRI

TC2: RET

JJCB:CJNE R7,#03H,TC4 MOV R0,#00H

K22: MOV P0,R0

MOV P2,#0FFH

MOV A,P2

CPL A

MOV R3,A

L22: DEC R3

CJNE R3,#255,L22 INC R0

INC R0

CJNE R0,#254,K22

LJMP JCB

TC4: RET

SIN: JNB P1.0,N5

JNB P1.1,N6

JNB P1.3,N8

LJMP SSIN

N5: MOV R7,#00H

LJMP TC3

N6: MOV R7,#01H

LJMP TC3

N8: MOV R7,#03H

LJMP TC4

SSIN:CJNE R7,#02H,TC3 MOV R0,#00H

K30: MOV A,R0

MOV DPTR,#TAB

MOVC A,@A+DPTR

MOV P0,A

INC R0

MOV P2,#0FFH

MOV A,P2

CPL A

MOV R3,A

L30: DEC R3

CJNE R3,#255,L30

CJNE R0,#255,K30

LJMP SIN

TC3: RET

TAB: DB 80H,82H,84H,86H,88H,8AH,8CH,8EH,90H,92H,94H,96H,98H,9AH,9CH,9EH DB

0A0H,0A2H,0A4H,0A6H,0A8H,0AAH,0ABH,0ADH,0AFH,0B1H,0B2H,0B4H,0B6H,0B7H ,0B9H,0BAH

DB

0BCH,0BDH,0BFH,0C0H,0C1H,0C3H,0C4H,0C5H,0C6H,0C8H,0C9H,0CAH,0CBH,0CCH ,0CDH,0CEH

DB

0CEH,0CFH,0D0H,0D1H,0D1H,0D2H,0D2H,0D3H,0D3H,0D4H,0D4H,0D4H,0D4H,0D5H ,0D5H,0D5H

DB

0D5H,0D5H,0D5H,0D5H,0D4H,0D4H,0D4H,0D4H,0D3H,0D3H,0D2H,0D2H,0D1H,0D1H ,0D0H,0CFH

DB

0CEH,0CEH,0CDH,0CCH,0CBH,0CAH,0C9H,0C8H,0C6H,0C5H,0C4H,0C3H,0C1H,0C0H ,0BFH,0BDH

DB

0BCH,0BAH,0B9H,0B7H,0B6H,0B4H,0B2H,0B1H,0AFH,0ADH,0ABH,0AAH,0A8H,0A6H ,0A4H,0A2H

DB

0A0H,9EH,9CH,9AH,98H,96H,94H,92H,90H,8EH,8CH,8AH,88H,86H,84H,82H DB

80H,7DH,7BH,79H,77H,75H,73H,71H,6FH,6DH,6BH,69H,67H,65H,63H,61H DB

5FH,5DH,5BH,59H,57H,55H,54H,52H,50H,4EH,4DH,4BH,49H,48H,46H,45H DB

43H,42H,40H,3FH,3EH,3CH,3BH,3AH,39H,37H,36H,35H,34H,33H,32H,31H DB

31H,30H,2FH,2EH,2EH,2DH,2DH,2CH,2CH,2BH,2BH,2BH,2BH,2AH,2AH,2AH DB

2AH,2AH,2AH,2AH,2BH,2BH,2BH,2BH,2CH,2CH,2DH,2DH,2EH,2EH,2FH,30H DB

31H,31H,32H,33H,34H,35H,36H,37H,39H,3AH,3BH,3CH,3EH,3FH,40H,42H DB

43H,45H,46H,48H,49H,4BH,4DH,4EH,50H,52H,54H,55H,57H,59H,5BH,5DH DB

5FH,61H,63H,65H,67H,69H,6BH,6DH,6FH,71H,73H,75H,77H,79H,7BH,7DH END

改变程序中有关递增变量大小,即可改变输出波形的频率。

4 系统测试

4.1调试所用的基本仪器清单

(1)AT89C52单片机

(2)DAC0832转换器

(3)OP1P集成运算放大器

(4)虚拟示波器

4.2调试结果

4.3 测试结果分析

5 总结

参考文献

(5个左右参考文献,要按照严格标准写)

[1] 张肃文.高频电子线路北京[M].北京:高等教育出版社,2000.

[2] 江思敏、姚鹏翼.PADS电路原理图和PCB设计[M].机械工业出版社,2007.

参考文献、注释的表述及编排格式说明(仅供参考)

一、参考文献表述和编排格式:

参考文献指的是写作论文时研究过、阅读查找过并且对形成本文有借鉴、参考作用的所有文献资料。从理论上说,参考文献可以是引用过的文献,也可以是仅仅潜在性地启发了作者的思路而并没有直接引用的文献。

参考文献的类型标识:M-专著,C-论文集,N-报纸文章,J-期刊文章,D-学位论文,R-报告,S-标准,P-专利,A-专著、论文集中的析出文献,EB/OL-电子文献,Z-其他未说明的文献类型。如下表:

参考文献类型期刊

文章

学位

论文

报纸

文章

专著、析出

文献

电子

文献

文献类型

标示

J M C D R N S P A EB/OL Z

(一)参考文献的常用的表述格式:

1.专著、论文集、研究报告:[序号]主要责任者(注:两个责任者之间用逗号隔开).文献题名[文献类型标识].出版地:出版者,出版年.

举例:

[1]刘小龙.电视艺术美学[M].北京:中国广播电视出版社,1999.

[2]吴海霞,沈剑平.电视论坛[C] . 北京:人民教育出版社,2003.(2).

[3]中国教育与人力资源问题报告课题组.从人口大国迈向人力资源强国[R].北京:高等教育出版社,2003.

2.学位论文:[序号]主要责任者.文献题名[D].保管地:保管单位,完成年.

举例:

[1]邓友.论电视艺术的美学性[D].北京:北京广播学院,2004.

3.析出文献:[序号]析出文献主要责任者(注:两个责任者之间用逗号隔开).析出文献题名[A].原文献主要责任者.原文献题名[C].出版地:出版者,出版年.析出文献起止页码(如文内已列明,则省略).

举例:

[1][英]穆尔.电影理论的结构[A].瞿涛.电影学文集[C].北京:人民出版社,1993.

4.期刊文章:[序号]主要责任者.文献题名[J].刊名,出版年,卷(期).起止页码.

举例:

[9]李海.音乐传播的文化思考[J].当代传播,2004,(10):26-27.

5.报纸文章:[序号]主要责任者.文献题名[N].报纸名,出版日期(版次).

举例:

[1]周济.情系教育办好教育[N].中国教育报,2004-1-29(1).

6.网络文献:[序号]主要责任者.网络文献题名[文献类型标识].网络文献的出处或可获得地址. 举例:

[1]吴霓.教育科学大家谈[J/OL].https://www.doczj.com/doc/8513425973.html,/2002zt/jykx/145.htm.

[14]方锦柔. 中国人民大学学报论文文摘(1983—1993). 英文版(DB/CD).北京:中国百科全书出版社,1996.

7. 外文期刊文献编排格式及示例

①作者姓名采用“姓在前名在后”原则,具体格式是:姓,名字的首字母. 如: Malcolm Richard Cowley 应为:Cowley, M.R.,如果有两位作者,第一位作者方式不变,&之后第二位作者名字的首字母放在前面,姓放在后面,如:Frank Norris 与Irving Gordon应为:Norris, F. & I.Gordon.;

②书名、报刊名使用斜体字,如:Mastering English Literature,English Weekly。其它与中文格式相同。

举例:

[1] JONES R M..Mechanics of Composite Materials[M].New York:McGraw Hill Book Company, 1975.

[2]DOWLER L. The Research University's Dilemma: Resource Sharing and Research in a Transinstitutional Environment[J]. Journal Library Administration,1995,21(1/2):5-26.

[3] GUO Ai-bing.Auto Show Revs up Customers' Desire[N].China Daily,2002-06-07(1).

8.各种未定类型文献:[序号]主要责任者.文献题名[Z].出版地:出版者,出版年.

举例:

[1]何东昌.中华人民共和国重要教育文献(1991-1997)[Z].海口:海南出版社,1998.

(二)文末参考文献的编排:

参考文献的序号左顶格,并用数字加方括号表示,如[1]、[2]……。每一条参考文献条目的最后均以小圆点“.”结束。参考文献不必注明具体页码。

根据文科学术论文的写作习惯,中文文献以作者姓氏中拼音字母顺序呈现,外文以作者姓氏英文字母顺序呈现,本文中所引用过的文献,均必须在参考书目中列出,但没引用到的文献也不可以多列。

理工科毕业论文(设计)参考文献主要用于注明论文(设计)中所参考文献的来源。参考文献采用顺序编码制,在引文处按引用文献在论文中出现的先后顺序用阿拉伯数字连续编码,并与正文中引用的标注一一对应。例:

多尺度1/f模型己在多种领域中得到了成功的应用,模型中较低的状态维数可降低2D估计问题的计算复杂度。1/f模型最早被应用在光流估计的问题上[38],而多尺度1/f模型也可作为目标表面重构和图像分割等问题的先验模型[39]。

参考文献:

[38] 文成林.周东华多尺度估计理论及其应用[M].北京:清华大学出版社,2002.

[39] 文成林.多传感器单模型动态系统多尺度数据融合[J].电子学报. 2001 (3):341-345.

基于51单片机的函数信号发生器的设计

龙源期刊网 https://www.doczj.com/doc/8513425973.html, 基于51单片机的函数信号发生器的设计 作者:朱兆旭 来源:《数字技术与应用》2017年第02期 摘要:本文所设计的系统是采用AT89C51单片机和D/A转换器件DAC0832产生所需不 同信号的低频信号源,AT89C51 单片机作为主体,采用D/A转换电路、运放电路、按键和LCD液晶显示电路等,按下按键控制生成方波、三角波、正弦波,同时用LCD显示相应的波形,输出波形的周期可以用程序改变,具有线路简单、结构紧凑、性能优越等特点。 关键词:51单片机;模数转换器;信号发生器 中图分类号:TP391 文献标识码:A 文章编号:1007-9416(2017)02-0011-01 1 前言 波形发生器,是一种作为测试用的信号源,是当下很多电子设计要用到的仪器。现如今是科学技术和设备高速智能化发展的科技信息社会,集成电路发展迅猛,集成电路能简单地生成各式各样的波形发生器,将其他信号波形发生器于用集成电路实现的信号波形发生器进行对比,波形质量、幅度和频率稳定性等性能指标,集成电路实现的信号波形发生器都胜过一筹,随着单片机应用技术的不断成长和完善,导致传统控制与检测技术更加快捷方便。 2 系统设计思路 文章基于单片机信号发生器设计,产生正弦波、方波、三角波,连接示波器,将生成的波形显示在示波器上。按照对作品的设计研究,编写程序,来实现各种波形的频率和幅值数值与要求相匹配,然后把该程序导入到程序存储器里面。 当程序运行时,一旦收到外界发出的指令,要求设备输出相应的波形时,设备会调用对应波形发生程序以及中断服务子程序,D/A转换器和运放器随之处理信号,然后设备的端口输出该信号。其中,KEY0为复位键,KEY1的作用是选择频率的步进值,KEY2的作用是增加频 率或增加频率的步进值,KEY3的作用是减小频率或减小频率的步进值,KEY4的作用是选择三种波形。103为可调电阻,用于幅值的调节。自锁开关起到电源开关的作用。启动电源,程序运行的时候,选择正弦波,红色LED灯亮起;选择方波,黄色LED灯亮起;选择三角波,绿色LED灯亮起。函数信号发生器频率最高可达到100Hz,最低可达到10Hz,步进值0.1- 10Hz,幅值最高可到3.5V。系统框图如图1所示。 3 软件设计

(完整word版)基于单片机的信号发生器开题报告

内蒙古工业大学本科生毕业设计(论文)开题报告

注:表格根据所填内容可进行调整,可多页。 一、设计总体方案 利用AT89S52 单片机采用程序设计方法产生锯齿波,正弦波,矩形波,方波四种波形,再通过D/A 转换器DAC0832将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,通过键盘来控四种波形的类型,频率变化,最终输出显示其各自的类型及数值

图4.1 硬件原理框图 二.硬件各单元电路方案设计与选择 1、单片机的选择 方案一:AT89S52芯片中只有一路模拟输出或几路模拟信号非同步输出,这种情况下CPU对DAC0832 执行一次写操作,则把一个数据直接写入DAC寄存器,DAC0832的输出模拟信号随之对应变化。输出波形稳定,精度高,滤波好,抗干扰效果好,连接简单,性价比高。 方案二:C8051F005单片机是完全集成的混合信号系统级芯片,具有与8051兼容的微控制器内核,与MCS-51指令集完全兼容。除了具有标准8052的数字外设部件,片内还集成了数据采集和控制系统中常用的模拟部件和其他数字外设及功能部件,而且执行速度快。但其价格较贵 方案三:采用单片机编程的方法来实现。该方法可以通过编程的方法来控制信号波形的频率和幅度,而且在硬件电路不变的情况下,通过改变程序来实现频率的变换。此外,由于通过编程方法产生的是数字信号,所以信号的精度可以做的很高。 以上两种方案综合考虑,选择方案一 2.键盘设计方案比较 方案一:矩阵式键盘。矩阵式键盘的按键触点接于由行、列母线构成的矩阵电路的交叉处。当键盘上没有键闭合时,所有的行和列线都断开,行线都呈高电平。当某一个键闭合时,该键所对应的行线和列线被短路。 方案二:独立式键盘。独立式键盘具有硬件与软件相对简单的特点,其缺点是按键数量较多时,要占用大量口线。 以上两种方案综合考虑,选择方案二。 3、D/A转换部分

低频信号发生器设计开题报告

1 研究的目的及其意义 随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展,促使信号发生器种类增多,性能提高。尤其随着70年代微处理器的出现,更促使信号发生器向着自动化、智能化方向发展。现在,信号发生器带有微处理器,因而具备了自校、自检、自动故障诊断和自动波形形成和修正等功能,可以和控制计算机及其他测量仪器一起方便的构成自动测试系统。当前信号发生器总的趋势是向着宽频率覆盖、低功耗、高频率、精度、多功能、自动化和智能化方向发展。在科学研究、工程教育及生产实践中,如工业过程控制、教学实验、机械振动试验、动态分析、材料试验、生物医学等领域,常常需要用到低频信号发生器。而在我们日常生活中,以及一些科学研究中,锯齿波和正弦波、矩形波信号是常用的基本测试信号。譬如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。信号发生器作为一种通用的电子仪器,在生产、科研、测控、通讯等领域都得到了广泛的应用。但市面上能看到的仪器在频率精度、带宽、波形种类及程控方面都已不能满足许多方面实际应用的需求。加之各类功能的半导体集成芯片的快速生产,都使我们研制一种低功耗、宽频带,能产生多种波形并具有程控等低频的信号发生器成为可能。 便携式和智能化越来越成为仪器的基本要求,对传统仪器的数字化,智能化,集成化也就明显得尤为重要。平时常用信号源产生正弦波,方波,三角波等常见波形作为待测系统的输入,测试系统的性能。单在某些场合,我们需要特殊波形对系统进行测试,这是传统的模拟信号发生器和数字信号发生器很难胜任的。利用单片机,设计合适的人机交互界面,使用户能够通过手动的设定,设置所需波形。该设计课题的研究和制作全面说明对低频信号发生系统要有一个全面的了解、对低频信号的发生原理要理解掌握,以及低频信号发生器工作流程:波形的设定,D/A 转换,显示和各模块的连接通信等各个部分要熟练联接调试,能够正确的了解常规芯片的使用方法、掌握简单信号发生器应用系统软硬件的设计方法,进一步锻炼了我们在信号处理方面的实际工作能力。 2 国内外研究现状 在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信

简易信号发生器设计制作

简易信号发生器设计制作 一、训练目的 (1)掌握正弦波、三角波、矩形波和方波发生电路的工作原理; (2)学会正弦波、三角波、矩形波和方波发生电路的设计方法; (3)进一步熟悉电子线路的安装、调试、测试方法。 二、工作原理 正弦波、三角板、矩形波是电子电路中常用的测试信号,如测试放大器的增益、通频带等均要用到正弦信号作为测试信号。下面分别介绍产生这三种信号电路结构和工作原理。 1.正弦信号发生器 正弦信号的产生电路形式比较多,频率较低时常用文氏电桥振荡器,图7-1为实用文氏电桥振荡电路。图中R 1、R 2、R 3、RW 2构成负反馈支路,二极管D 1、D 2构成稳幅电路,C 2、R 11(或R 12或R 13)、C 1、R 21(或R 22或R 23)串并联电路构成正反馈支路,并兼作选频网络。调节电位器RW 2可以改变负反馈的深度,以满足振荡的振幅条件和改善波形。二极管D 1、D 2要求温度稳定性好,特性匹配以确保输出信号正负半周对称,R 4接入用以消除二极管的非线性影响,改善波形失真。如K1接电阻R 11、K2接R 21,并且R 11= R 21=R ,C 1= C 2=C ,则电路的振荡频率为: 1 2f RC π= (7-1) 起振的幅值条件: 1 1f v R A R =+ (7-2) 图7-1 正弦信号发生器 通过调整RW 2可以改变电路放大倍数,能使电路起振并且失真最小。该电路可通过开关K1、K2选择不同的电阻以得到不同频率的信号输出。 2.方波和矩形波发生器

方波发生电路如图7-2,其基本原理是在滞回比较器的基础上增加了由R 4和C 1构成的积分电路,输出电压通过该积分电路送人到比较器的反相输入端。其中R 3 、D Z1和D Z2构成双向限幅电路,这样就构成了方波发生器电路,其工作原理如下: 假设在接通电源瞬间,输出电压o v 为Z V +(稳压二极管D Z1、D Z2额定工作时的稳压值),这时比较器同相端的输入电压为 2 12 Z R v V R R +≈ + (7-3) 同时输出电压o v 会通过电阻R 4给C 1充电,反相端的输入电压v -就会逐步升高,当反向输入端的电压v -略大于同相端输入电压v +时,比较器输出电压立即从Z V +翻转为Z V -,这时输出端电压o v 为Z V -,比较器同相端输入电压v +'为 2 12 Z R v V R R +'≈- + (7-4) 这时输出的电压o v 会通过R 4对C 1进行反向充电,当反相输入端的电压略低于v +'时,输出状态再翻转回来,如此反复形成方波信号。所产生方波信号的频率为 41 1 2f R C = 方波 (7-5) R 4 o 图7-2 方波发生电路

低频正弦信号发生器

低频正弦信号发生器 摘要 正弦信号发生器是信号中最常见的一种,它能输出一个幅度可调、频率可调的正弦信号在这些信号发生器中,又以低频正弦信号发生器最为常用,在科学研究及生产实践中均有着广泛应用。 目前,常用的信号发生器绝大部分是由模拟电路构成的,电路的组成主要包括选频网络,反馈网络,以及放大部分。所以,从结构上看,正弦信号发生器就是一个没有输入信号的带选频网络的正反馈放大电路。分析RC串并联选频网络的特性,根据正弦波振荡电路的两个条件,即振幅平衡与相位平衡,来选择合适的放大电路指标,来构成一个完整的振荡电路。很多应用中都要用到范围可调的LC 振荡器,它能够在电路输出负载变化时提供近似恒定的频率、几乎无谐波的输出。电路必须提供足够的增益才能使低阻抗的LC 电路起振,并调整振荡的幅度,以提高频率稳定性,减小THD(总谐波失真)。 但是,在一般的情况下,RC选频电路用于输出中频信号,LC选频电路用于输出高频信号,当需要这种模拟信号发生器用于输出低频率信号往往需要的RC值很大(LC 输出高频,更难以满足要求),这样不但参数准确度难以保证,而且体积大和功耗都很大,低频性能难以满足要求。而由数字电路构成的低频信号发生器,多是由一些芯片组成,其低频性能比模拟信号发生器好得多,并且体积较小,输出的信号谐波较少,频率和振幅相对比较稳定。本文借助555定时器和74LS161产生方波经MF10滤波电路产生正弦信号,这种电路运算速度较高,系统集成度强,且实现更加简便。电压的数字显示主要由555定时器构成的放大整形电路,时基电路和控制电路构成,最终由十六进制加法器74LS160,锁存器74LS373,译码器74LS48使数码管显示电压。

基于单片机的低频信号发生器设计毕业设计论文

淮阴工学院 毕业设计说明书(论文) 作者: 学号: 学院: 电子与电气工程学院 专业: 电子信息工程 题目: 基于单片机的低频 信号发生器 张月红讲师 指导者: (姓名) (专业技术职务) 评阅者: (姓名) (专业技术职务) 年月

毕业设计说明书(论文)中文摘要

毕业设计说明书(论文)外文摘要

淮阴工学院毕业设计说明书(论文)第Ⅰ页共Ⅰ页4 目录 1 绪论................................................. 错误!未定义书签。 1.1 信号发生器综述..................................... 错误!未定义书签。 1.2信号发生器的发展历史............................... 错误!未定义书签。 2 硬件设计............................................. 错误!未定义书签。 2.1总体设计框图....................................... 错误!未定义书签。 2.2单片机最小系统..................................... 错误!未定义书签。 2.3 数模转换模块....................................... 错误!未定义书签。 2.4运算放大模块....................................... 错误!未定义书签。 2.5 键盘电路设计模块................................... 错误!未定义书签。 2.6显示电路设计模块................................... 错误!未定义书签。 3 软件设计............................................. 错误!未定义书签。 3.1 主程序流程图....................................... 错误!未定义书签。 3.2 子程序流程图....................................... 错误!未定义书签。 4 系统调试............................................. 错误!未定义书签。 4.1软件调试........................................... 错误!未定义书签。 4.2生成hex文件....................................... 错误!未定义书签。 4.3 Protues硬件电路仿真调试........................... 错误!未定义书签。 结论................................................... 错误!未定义书签。致谢................................................... 错误!未定义书签。参考文献............................................... 错误!未定义书签。附录................................................... 错误!未定义书签。附录A 电路原理图.仿真图............................... 错误!未定义书签。附录B 程序清单........................................ 错误!未定义书签。

(完整版)数字信号发生器的电路设计_(毕业课程设计)

1 引言 信号发生器又称信号源或者振荡器,它是根据用户对其波形的命令来产生信号的电子仪器,在生产实践和科技领域有着广泛的应用。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其他仪表测量感兴趣的参数。信号发生器在通信、广播、电视系统,在工业、农业、生物医学领域内,在实验室和设备检测中具有十分广泛的用途。 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。到70年代处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。随着现代电子、计算机和信号处理等技术的发展,极大地促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之发展起来。

信号发生器作为电子领域不可缺少的测量工具,它必然将向更高性能,更高精确度,更高智能化方向发展,就象现在在数字化信号发生器的崛起一样。但作为一种仪器,我们必然要考虑其所用领域,也就是说要因地制宜,综合考虑性价比,用低成本制作的集成芯片信号发生器短期内还不会被完全取代,还会比较广泛的用于理论实验以及精确度要求不是太高的实验。因此完整的函数信号发生器的设计具有非常重要的实践意义和广阔的应用前景。 2 数字信号发生器的系统总述 2.1 系统简介 信号发生器广泛应用于电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域。 本设计以AT89C52[1]单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统主要包括CPU模块、显示模块、键盘输入模块、数模转换模块、波形输出模块。系统电路原理图见附录A,PCB (印制电路板)图见附录B。其中CPU模块负责控制信号的产生、变化及频率的改变;模数转换模块采用DAC0832实现不同波形的输出;显示模块采用1602液晶显示,实现波型和频率显示;键盘输入模块实

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

低频信号发生器设计报告

低频信号发生器设计报告 一.设计要求 (一)设计题目要求 1.分析电路的功能并设计电路的单元电路 2.查找图中相应元件的参数,找出国外对应元件的型号 3.用EWB或Multisim软件进行电路仿真,打印仿真原理图和仿真结果 4.用A3图纸绘出系统电路原理图 (二)其他要求 1.必须独立完成设计课题 2.合理选用元器件 3.要求有目录、参考资料、结语 4.论文页数不少于20页 二.设计的作用、目的 (一)设计的作用 低频信号发生器是电子测量中不可缺少的设备之一。完成一个低频信号发生器的设计,可以达到对模拟电路知识较全面的运用和掌握。 (二)设计的目的 电子电路设计及制作课程设计是电子技术基础课程的实践性教学环节,通过该教学环节,要求达到以下目的: 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力和综合分析问题、解决问题的能力; 2.基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力;3.熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。

三.设计的具体实现 (一)系统概述 根据课题任务,所要设计的低频信号发生器由三大部分组成: ⑴正弦信号发生部分 ⑵信号输出部分 ⑶稳幅部分 其中由正弦信号发生部分的电路产生所需要的正弦信号,由输出电路将信号放大后进行输出,再由稳幅电路部分从输出的信号采样反馈回信号发生部分进行稳幅。 1.正弦信号发生部分可以有以下实现方案: ⑴以晶体管(晶体管(transistor)是一种固体半导体器件,可以用于检波、整流、放大、开关、稳压、信号调制和许多其它功能。开关速度可以非常快) 为核心元件,加RC(文氏桥或移相式)或变压器反LC(馈式、电感三点式、电容三点式、晶振等)选频网络以及稳幅电路等构成的分立元件正弦波振荡电路。这种电路的优点是简单、廉价,但由于采用分立元件,稳定性较差,元件较多时调节也较麻烦。

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

音频测试-低频信号发生器-使用方法

低频信号发生器的操作方法 第一步骤:低频信号发生器的连接 连接电源线 用220V AC 线把低频信号发生器连上市电。如电源插座旁有控制开关,还须把开关打开。(如上图2) 连接信号线 将输出线插入到低频信号发生器的信号输出(OUTPUT )接口,并顺时针扭动半圈(如下图3)。图 1 图 2 将开关打开

第二步骤:信号电压幅度调节 上述步骤完成后,接下来需要开机预热和调节输出信号的幅度。 1) 开机(POWER ) 按下电源键开机,开机后电源指示灯会亮。电源按钮一般为红色。 图 3 图 4 连接输出线 电源按钮 电源指示灯

波形选择(WAVE FORM ) 控制低频信号发生器的输出波形。此按钮未按下去时为正弦波,按下去后为矩形波。中文意思为波形。在音频测试中应选择正弦波。(如上图6) 振幅调节(AMPLITUDE ) 此旋钮用来对信号幅度进行微调。顺时针为调大(MAX ),逆顺针为调小(MIN )。如下图图 6 图 5 波形选择 按钮 衰减度选择 -20dB 档 振幅微 调旋钮 图 7 交流电压 20V 档 信号频率 为50Hz

第四步骤:信号频率调节 当调好低频信号发生器的信号电压时,我们还要调节信号发生器的信号频率。 1) 频率调节(FREQUENCY ) 频率调节旋钮上有刻度盘,刻度盘上的数值从10~100,我们调节时把刻度盘上的数值对准正上方的黑色标志,这个数值就是输出信号的基数值。Frequency 中文为频率的意思。(如上图9个琴键按钮,分别为×1、×10、×100、×1K 、×10K ,它们与频率旋钮配合使用。当按下其中的某一个时,表示频率旋钮上指示的基数值×此按钮的倍数。 图 9 图 8 频率旋钮 倍数选择

单片机低频信号发生器课程设计.

目录 一、题目的意义 (1) 二、本人所做的工作 (1) 三、课设要求 (2) 四、课设所需设备及芯片功能介绍 (2) 4.1、所需设备 (2) 4.2、芯片功能介绍 (2) 五、总体功能图及主要设计思路 (5) 5.1、总体功能图 (5) 5.2、主要设计思想 (5) 六、硬件电路设计及描述 (7) 6.1、硬件原理图 (7) 6.2、线路连接步骤 (7) 七、软件设计流程及描述 (7) 7.1、锯齿波的实现过程 (7) 7.2、三角波的实现过程 (8) 7.3、梯形波的实现过程 (9) 7.4、方波的实现过程 (11) 7.5、正弦波的实验过程 (12)

7.6、通过开关实现波形切换和调频、调幅 (13) 八、程序调试步骤与运行结果 (15) 8.1、调试步骤 (15) 8.2、运行结果 (15) 九、课程设计体会 (17) 十、参考文献 (18) 十一、源代码及注释 (18) 一、题目的意义 (1)、利用所学单片机的理论知识进行软硬件整体设计,锻炼学生理论联系实际、提高我们的综合应用能力。 (2)、我们这次的课程设计是以单片机为基础,设计并开发能输出多种波形(正弦波、三角波、锯齿波、方波、梯形波等)且频率、幅度可变的函数发生器。 (3)、掌握各个接口芯片(如0832等的功能特性及接口方法,并能运用其实现一个简单的微机应用系统功能器件。 (4)、在平时的学习中,我们所学的知识大都是课本上的,在机房的练习大家也都是分散的对各个章节的内容进行练习。因此,缺乏一种系统的设计锻炼。在课程所学结束以后,这样的课程设计十分有助于学生的知识系统的总结到一起。 (5)、通过这几个波形进行组合形成了一个函数发生器,使得我对系统的整个框架的设计有了一个很好的锻炼。这不仅有助于大家找到自己感兴趣的题目,更可以锻炼大家单片机知识的应用。 二、本人所做的工作

简易信号发生器单片机课程设计报告

课程设计(论文)任务书 电气学院电力系统及其自动化专业12(1 )班 一、课程设计(论文)题目:简易信号发生器设计 二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。 三、课程设计(论文) 地点:电气学院机房 10-303 四、课程设计(论文)内容要求: 1.课程设计的目的 (1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用; (3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。 2.课程设计的内容及任务 (1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。 (2)显示出仿真波形。 (3)通过按键选择输出波形的种类。 (4)在此基础上使输出波形的幅值可控。

3.课程设计说明书编写要求 (1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。 (3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,) 调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)(4) 学生签名: 2015年1月16 日 课程设计(论文)评审意见 (1)总体方案的选择是否正确;正确()、较正确()、基本正确()(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()(3)设计功能是否完善;完善()、较完善()、基本完善()(4)元器件选择是否合理;合理()、较合理()、基本合理()(5)动手实践能力;强()、较强()、一般()(6)学习态度;好()、良好()、一般()(7)基础知识掌握程度;好()、良好()、一般()(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确() (9)程序代码是否具有创新性;全部()、部分()、无() (10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格() 评阅人:

基于运放的信号发生器设计

北京工业大学课程设计报告 模电课设题目基于运放的信号发生器设计 班级:1302421 学号:13024219 姓名:吕迪 组号:7 2015年 6月

一、设计题目 基于运放的信号发生器设计 二、设计任务及设计要求 (一)设计任务 本课题要求使用集成运算放大器制作正弦波发生器,在没有外加输入信号的情况下,依靠电路自激震荡而产生正弦波输出的电路。经过波形变换可以产生同频三角波、方波信号。(二)设计要求 基本要求:使用LM324,采用经典振荡电路,产生正弦信号,频率范围,360Hz~100kHz。输出信号幅度可调,使用单电源供电以及增加功率。 (三)扩展要求 (1)扩大信号频率的范围; (2)增加输出功率 (3)具有输出频率的显示功能。 三、设计方案 (一)设计框图 (二)设计方案选择思路 我们在模电课上学过几种正弦波振荡器的基本电路,包括RC串并联正弦波振荡器、电容三点式正弦波振荡器以及电感三点式正弦波振荡器。因为题目要求设计基于运放的正弦波发生器,我们就确定将RC串并联网络正弦波振荡器作为我们设计的基础电路,因为此振荡器适用于频率在1MHz一下的低频正弦波振荡器而且频率调节方便,我们打算先通过计算搭建RC 正弦波振荡电路,测试基本电路达到的频率及幅值范围,再在这一基础上进行放大,使频率及幅值与设计要求相符合,因此设计出了二级反向放大这一模块。最后,为了提高电路的输出功率,减小电路的输出阻抗,再设计电压跟随器这一模块来完善整个电路。由此,我们确定出三个模块:RC正弦波振荡电路,二级反向放大电路,电压跟随器,并准备从基础模块入手,分模块实现,并根据实际情况不断调整改进原先的设计方案。 (三)元器件清单 芯片:LM324*2 40106*1 二极管:1N4148*2 电容:10μF*1、10nf *4 电阻:2k*1 、10k*4、51k*1 、82k*1 、91k*1 、100k滑动变阻器*1、220k*1 电位器:50k双联*1、10k*2、50k*1 (四)芯片资料

低频信号发生器的使用说明

附录一低频信号发生器的使用说明 一.概述 AS1033型低频信号发生器采用了中央处理器控制面板的操作方式,具有良好的人机界面。输出正弦波信号频率从2Hz~2MHz连续可调,输出正弦波信号幅度从0.5mV~5V连续可调,并设有TTL输出方波功能,频率从2Hz~2MHz连续可调,占空比从20%~80%连续可调。 面板显示清晰明了,操作简单方便,输出频率调节可采用频率段调节(轻触开关粗调)和数码开关调节(段内细调)二种,其中数码开关调节又分快调和慢调两种,五位数码管直接显示频率,输出幅度调节采用轻触粗调(20dB、40dB、60dB)和电位器细调(20dB)以内,三位数码管直接显示输出电压有效值或衰减电平。 中央处理器控制整机各部分,并采用了数/模、模/数转换电路,应用数码开关作为频率调节输入。振荡电路采用压控振荡与稳幅放大相结合,具有良好的稳幅特性。电路中还加入输出保护、TTL输出、方波占空比可调电路等。 二.技术特性 1.频率范围:2Hz~2MHz,共分五个频段 第一频段:2Hz~30Hz 第二频段:30Hz~450Hz 第三频段:450Hz~7kHz 第四频段:7kHz~100kHz 第五频段:100kHz~2MHz 2.正弦波输出特性 (1)输出电压幅度(有效值):0.5mV~5V (2)幅频率特性:≤±0.3dB (3)失真度:2Hz~200kHz≤0.1%,200kHz~2MHz,谐波分量≤-46dB 3.方波输出特性 ⑴最大输出电压(空截,中心电平为0):14Vp-p ⑵占空比(连续可调):20%~80% ⑶逻辑电平输出:TTL电平,上升、下降沿≤25ns 4.输出电抗:600Ω 5.频率显示准确度:1×10-4±1个字 6.正常工作条件 ⑴环境温度:0~40℃ ⑵相对湿度:<90%(40℃) ⑶大气压:86~106kpa ⑷电源电压:220±22V,50±2.5Hz 7.消耗功率:<10W 三.面板及操作说明 1.整机电源开关(POWER) 按下此键,接通电源,同时面板上指示灯亮。 2.频段选择手动按钮

基于51单片机的信号发生器

基于51单片机的函数发生器 以STC89C51单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统可以产生最高频率798.6HZ的波形。该信号发生器具有体积小、价格低、性能稳定、功能齐全的优点。 关键词:低频信号发生器;单片机;D /A转换; 一.设计任务 设计一个由单片机控制的信号发生器。运用单片机系统控制产生多种波形,这些波形包括方波、三角波、锯齿波、正弦波等。信号发生器所产生的波形的频率、幅度均可调节。 二.系统概述 2.1总体方案: 采用AT89C51单片机和DAC0832数模转换器生成波形,加上一个低通滤波器, 生成的波形比较纯净。它的特点是可产生任意波形,频率容易调节,频率能达到 设计的500HZ以上。性能高,在低频范围内稳定性好、操作方便、体积小、耗电 少。将输出电压通过一个运算放大器的放大来改变幅度。这样还有个优点是幅度 连续可调。 2.2工作原理: 数字信号可以通过数/模转换器转换成模拟信号,因此可通过产生数字信号再转换成模拟信号的方法来获得所需要的波形。89C51单片机本身就是一个完整的微型计算机,具有组成微型计算机的各部分部件:中央处理器CPU、随机存取存储器RAM、只读存储器ROM、I/O接口电路、定时器/计数器以及串行通讯接口等,只要将89C51再配置键盘及、数模转换及波形输出、放大电路等部分,即可构成所需的波形发生器,其信号发生器构成系统框图如下图所示。

基于单片机的信号发生器设计

基于单片机的信号发生器设计

基于单片机的信号发生器 设计

摘要 在介绍MAX038 芯片特性的基础上,论述了采用MAX038 芯片设计数字函数信号发生器的原理以及整机的结构设计。对其振荡频率控制、信号输出幅度控制以及频率和幅度数显的实现作了较详细的论述。该函数信号发生器可输出三角波,方波和正弦波。 本文重点论述了整机通过D/A转换电路控制MAX038的实现过程,D/A转换电路采用了8位4通道的MAX505来实现。在幅度的控制上采用数字电位器AD5171,该芯片是I2C总线方式控制,文中给出了I2C总线的读写控制程序。系统支持按键操作和上位机操作两种模式。 关键词:函数信号;D/A ;单片机控制

Design of Signal Generator System Based on SCM Zisu zhou (College of Zhangjiajie, Jishou University, Jishou,Hunan 416000) Abstract Based on the introduction of MAX038 , we discussed the principle and the whole frame of the digital function signal generator. We described the control of the oscillatory frequent , amplitude and the digital display in detail. Thegenerator can output three kinds of waves : sine wave , square wave , triangle wave. This text has exposition the mirco-computer controls the D/A electric circuit of conversion realize the process. In D/A changing electric circuit adopt the 8 bit 4 channel come to realize. Porentiometer AD5171 is adopted in the control of length. This chip is that I2C bus control way. This system supports key-control or computer-control modes. Key words : function signal ;D/A ;single - chip microprocessor control ;

低频正弦信号发生器 (1) (1)

《电子技术》课程设计报告 题目低频正弦信号发生器 学院(部)电子与控制工程学院 专业建筑电气与智能化 班级2013320602 学生姓名吴会从 学号201332060225 6 月29 日至 7 月10 日共2 周 指导教师(签字)

前言 正弦交流信号是一种应用极为广泛的信号,它通常作为标准信号,用于电子电路的性能试验或参数测量。另外,在许多测试仪中也需要用标准的正弦信号检测一些物理量,正弦信号用作标准信号时,要求正弦信号必须有较高的精度,稳定度及低的失真率。 本次电子课程设计的低频正弦信号发生器的要求为:信号的频率范围为20HZ~20KHZ;输出电压幅度为 5V;输出信号频率数字显示;输出电压幅度显示。 针对以上设计要求,我们从图书馆收集,借阅了大量相关书籍,从网上下载了诸多相关资料,其次安装并学习使用了电路设计中所常使用的Multisim仿真软件。在设计的要求下,画出了整体电路的框图,将其分为正弦信号发生器,输出信号频率和其数字显示,输出电压和幅度数字显示三大部分。其中,正弦信号发生器部分主要由我负责,输出信号频率和其数字显示部分主要由刘琪负责,输出电压和幅度数字显示部分主要由李光辉负责。其次我们对每个单元电路进行设计分析,对其工作原理进行介绍,通过对电路分析,确定了元器件的参数,并利用Multisim 软件仿真电路的理想输出结果,克服了设计低频信号发生器电路方面存在的技术难题,使得设计的低频信号发生器结构简单,实现方便。 完成电路的设计与分析后,对资料与设计电路进行整理,排版,完成课程设计报告。

目录 摘要 (4) 关键字 (4) 技术要求 (4) 第一章系统概述 (5) 第二章单元电路设计 (6) 第一节正弦信号产生和放大电路模块设计 (6) 第二节数字的频率显示 (10) 第三节数字电压表设计 (17) 第三章结束语 (23) 参考文献 (23) 鸣谢 (23) 元器件明细表 (24) 收获与体会,存在的问题 (24) 评语 (26)

相关主题
文本预览
相关文档 最新文档