当前位置:文档之家› 信号完整性分析实验报告_西电李玉山,路建民老师

信号完整性分析实验报告_西电李玉山,路建民老师

信号完整性分析实验报告_西电李玉山,路建民老师
信号完整性分析实验报告_西电李玉山,路建民老师

实验一反弹图像的仿真

一、实验原理

1.信号振铃

如果信号传输过程中感受到阻抗的变化,就会发生信号的反射。这个信号可能是驱动端发出的信号,也可能是远端反射回来的反射信号。根据反射系数的公式,当信号感受到阻抗变小,就会发生负反射,反射的负电压会使信号产生下冲。信号在驱动端和远端负载之间多次反射,其结果就是信号振铃。大多数驱动源的输出阻抗都很低,如果输出阻抗小于PCB走线的特性阻抗,那么在没有源端端接的情况下,必然产生信号振铃。

信号振铃的过程可以用反弹图来直观的解释。假设驱动端的输出阻抗是10欧姆,PCB走线的传输延时为1ns,且特性阻抗为50欧姆(可以通过改变PCB走线宽度,PCB走线和内层参考平面间介质厚度来调整),为了分析方便,假设远端开路,即远端阻抗无穷大。驱动端传输1V电压信号。反弹图见图一所示。

图一利用反弹图分析分析多次反射和远端接收器的时变电压

第1次反射:信号从驱动源内部发出,经过10欧姆输出阻抗和50欧姆PCB特性阻抗的分压,实际加到PCB走线上的信号电压为1*50/(10+50)=0.84V。传输到线末端,由于线末端开路,阻抗无穷大,反射系数为1,即信号全部反射,反射信号也是0.84V。此时线末端测量电压是0.84+0.84=1.68V。

第2次反射:0.84V反射电压回到源端,阻抗由50欧姆变为10欧姆,反射系数为-0.67,发生负反射,源端反射电压为084×(-0.67)=-0.56V,该电压到达线末端,再次发生反射,反射电压-0.56V。此时线末端测量电压为2×0.84+2×(-0.56)

=0.56V。

第3次反射:从线末端反射回的-0.56V电压到达源端,再次发生负反射,反射电压为0.38V。该电压到达线末端再次发生正反射,反射电压0.38V。此时线末端测量电压为0.56+0.38+0.38=1.32V。

同样会发生第四次反射,第五次反射……如此循环,反射电压在源端和远端之间来回反弹,而引起线末端电压不稳定。观察线末端电压:1.68V,0.56V,1.32V……,可见线末端电压会有上下波动,这就是信号振铃,如图二所示。

图二传输线远端的电压波形

2.信号振铃的消除

振铃是由源端和远端的阻抗突变,两端之间不断往复的多次反射引起的,所以如果能至少在一段消除反射,就可以减小振铃噪声。控制传输线一端或两端的阻抗从而减小反射的方法称为传输线的端接。典型的方法是在重要位置上放置一个或多个电阻,例如端接匹配负载电阻的方法,可以有效去除信号振铃,能使信号的结果波形非常平滑从而避免的信号完整性问题的出现。

二、实验目的

本实验的目的是针对课本8.5节和8.10节讲述的信号振铃现象如何产生以及如何防止振铃现象作实验验证,同时加深对信号振铃现象如何产生以及预防的理解,以期对信号振铃有一个更加直观深刻的认识。同时更进一步的熟悉仿真环境的使用。

三、实验设置

点击File > New LineSim Schematic>Cell-Based

1. 信号发送端和接收端选择

在输入输出上点击右键,再点击Select IC Model:

2. 电阻和传输线

左键点击电阻和传输线,再在电阻和传输线上点击右键,修改参数值:

3. 仿真窗口设置,选择

Driving Waveform中的Rising Edge

IC Modeling中的fast-strong

Show 中的previous result去掉

Horizontal 中的Scale 改为5ns/dev

四、实验内容

1 信号振铃现象的产生机理仿真

仿真电路:设传输线

50欧,末端开路,则如下图所示:

仿真结果:由仿真波形图可以看出由于末端负载不匹配,所以产生了反射,造成波形产生了振铃现象。并且由反射系数公式可以大致推算出反射系数,由于负载无穷大,则反射系数应当为1。 OSCILLOSCOPE

Design file: UNNAMED0.TLN Designer: ljz

HyperLynx V7.5

Date: Tuesday Oct. 7, 2008 Time: 21:08:18

Show Latest Waveform = YES

Time (ns)

V ol t ag e -V -

2.信号振铃现象的避免

仿真电路:末端电路接入匹配负载50欧,原理图为:

仿真结果:由图可以看出,采用端接50欧负载电阻的方法,反弹基本上消除掉了,此时由于匹配的负载电阻的作用,反射系数变为0了,波形非常平滑。

OSCILLOSCOPE

Design file: WORK01.TLN Designer: ljz

HyperLynx V7.5

Date: Tuesday Oct. 7, 2008 Time: 21:16:38

Show Latest Waveform = YES

-3.000

-2.000

-1.000

0.000

1.000

2.000

3.000

4.000

5.000

6.000

7.000

0.000

10.0020.0030.0040.00

50.0060.0070.0080.0090.00100.00

Time (ns)

V ol t ag e -V -

实验二容性终端反射仿真

一、实验原理

所有世界接收器都有门输入电容,一般约为2pF。另外,接收器的封装信号引线与返回路径间也可能约有1pF电容,如果传输线末端排列这3个存储体,则此处的负载可能为10pF。当信号研传输线到达末端的理想电容时决定反射系数的瞬态阻抗将随着时间的变化而变化。如果信号上升时间小于电容的充电时间,那么最初电容器两端的电压将会迅速上升,这是阻抗很小。随着电容器充电,,电容器两端的电压变化率缓慢下降,这是电容器阻抗明显增大。如果时间足够长,电容器充电达到饱和,电容器就相当于断路。

这意味着反射系数随着时间的变化而变化。反射信号将先下跌,再上升到开路状态时的情形,这个精确波形是由传输线的特性阻抗,电容器的电容量和信号的上升时间决定的。下图所示为上升时间0.5ns的信号,当传输线电路远端容性负载的电容量分别为0,2pF,5 pF和10 pF时,传输线上的反射信号和传输信号。

二、实验目的

本实验的目的是针对课本8.13节讲述的容性终端负载的反射,通过实验还原并观察理论所述的信号波形,同时加深对容性负载对信号的反射的理解,以期对容性负载的反射有一个更加直观深刻的认识。同时更进一步的熟悉仿真环境的使用。

三、实验设置

点击File > New LineSim Schematic>Cell-Based

1 信号发送端和接收端选择

在输入输出上点击右键,再点击Select IC Model,选择Generic.MOD中的Driver。

2电阻和传输线

左键点击电阻和传输线,再在电阻和传输线上点击右键,设置参数值为电阻50ohms,传输线50Ohms,延时3ns。再末端再加一个电容。然后分别设置电容大小为0、2、8、15pF;

3 仿真窗口设置,选择

Driving Waveform中的Rising Edge

IC Modeling中的fast-strong

Show 中的previous result去掉

Horizontal 中的Scale 改为2ns/dev

四、实验内容

1. 端接电阻50Ohms,传输线50ohms,3ns延时,末端接电容15pF;

2. Driving Waveform选择Rising Edge,IC Modeling选择Fast、Horizontal 中的Scale 改为2ns/dev;

3.先设置probe于RS(A0).2,观察源端信号电平的变化;

4. 改变电容的大小为8、2、0pF,观察源端信号电平变化;

5. 分别对C=0、2、8、15pF,将probe放置于尾端,仿真信号电平的变化。

实验结果及分析:

1. 源端信号电压波形,如下图:

OSCILLOSCOPE

Design file: WORK01.TLN Designer: ljz

HyperLynx V7.5

Date: Thursday Oct. 9, 2008 Time: 15:43:14

Show Latest Wav eform = YES

-0.800

0.200

1.200

2.200

3.200

4.200

5.200

6.200

7.200

8.200

9.2000.000

2.000 4.000 6.0008.000

10.00012.00014.00016.00018.00020.000

Time (ns)

V ol t ag e -V -

Probe 1:RS(A0).2 (at pin)Probe 3:RS(A1).2 (at pin)Probe 4:RS(A3).2 (at pin)Probe 5:RS(A2).2 (at pin)

2. 末端信号电压波形,如下图:

OSCILLOSCOPE

Design file: WORK01.TLN Designer: ljz

HyperLynx V7.5

Date: Thursday Oct. 9, 2008 Time: 15:46:16

Show Latest Waveform = YES

-0.800

0.200

1.200

2.200

3.200

4.200

5.200

6.200

7.200

8.200

9.2000.000

2.000 4.000 6.0008.000

10.00012.00014.00016.00018.00020.000

Time (ns)

V ol t ag e -V -

Probe 1:U(B0) (at pin)Probe 3:U(B1) (at pin)Probe 4:U(B2) (at pin)Probe 5:U(B3) (at pin)

分析及结论:

上面两图中,红色为电容C=15pF时的波形,随着电容减小,信号上升越快,上升时间越短,波形越完整,所以大电容接地可以改善信号传输中的完整性。

杭电计组实验报告10

计组实验十 老师:包健 一、源代码测试模块代码: module Top( inputinclk, inputmem_clk, inputrst, outputreg[7:0] LED, input [3:0] SW ); wireclk; MyButtonmb( .clk_100MHz(mem_clk), .BTN(inclk), .BTN_Out(clk) ); wire [31:0] ALU_F; wire [31:0] M_R_Data; wire ZF; wire OF; wire [31:0]PC; My_I_CPUmy_i_cpu( .clk(clk), .mem_clk(mem_clk), .rst(rst), .ALU_F(ALU_F), .M_R_Data(M_R_Data), .ZFF(ZF), .OF(OF), .PC_out(PC) ); always@(*) begin case(SW) 4'd0:LED=ALU_F[7:0]; 4'd1:LED=ALU_F[15:8]; 4'd2:LED=ALU_F[23:16]; 4'd3:LED=ALU_F[31:24]; 4'd4:LED=M_R_Data[7:0];

4'd5:LED=M_R_Data[15:8]; 4'd6:LED=M_R_Data[23:16]; 4'd7:LED=M_R_Data[31:24]; 4'd8:LED={ZF,6'd0,OF}; 4'd12:LED=PC[7:0]; 4'd13:LED=PC[15:8]; 4'd14:LED=PC[23:16]; 4'd15:LED=PC[31:24]; default:LED=8'b0000_0000; endcase end endmodule 顶层模块代码: moduleMy_I_CPU( inputclk, inputmem_clk, inputrst, output [31:0] ALU_F, output [31:0] M_R_Data, output ZFF, output OF, output [31:0]PC_out ); //wire clk_n = ~clk; wire[31:0] codes; wire [31:0]PC_new; reg [31:0]PC; Inst_Fetch1 inst_fetch( .PC(PC), .rst(rst), .clk(clk), .Inst_codes(codes), .PC_new(PC_new) ); wire[5:0] OP; wire[5:0] func;

电力电子技术实验报告

实验一 SCR、GTO、MOSFET、GTR、IGBT特性实验 一、实验目的 (1)掌握各种电力电子器件的工作特性。 (2)掌握各器件对触发信号的要求。 二、实验所需挂件及附件 序 型号备注 号 1DJK01 电源控制屏该控制屏包含“三相电源输出”等几个模块。2DJK06 给定及实验器件该挂件包含“二极管”等几个模块。 3DJK07 新器件特性实验 DJK09 单相调压与可调负 4 载 5万用表自备 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载电阻R 串联后接至直流电源的两端,由DJK06上的给定为新器件提供触发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压器调节的直流电压源。 实验线路的具体接线如下图所示: 四、实验内容 (1)晶闸管(SCR)特性实验。

(3)功率场效应管(MOSFET)特性实验。

(5)绝缘双极性晶体管(IGBT)特性实验。 五、实验方法 (1)按图3-26接线,首先将晶闸管(SCR)接入主电路,在实验开始时,将DJK06上的给定电位器RP1沿逆时针旋到底,S1拨到“正给定”侧,S2拨到“给定”侧,单相调压器逆时针调到底,DJK09上的可调电阻调到阻值为最大的位置;打开DJK06的电源开关,按下控制屏上的“启动”按钮,然后缓慢调节调压器,同时监视电压表的读数,当直流电压升到40V时,停止调节单相调压器(在以后的其他实验中,均不用调节);调节给定电位器RP1,逐步增加给定电压,监视电压表、电流表的读数,当电压表指示接近零(表示管子完全导通),停止调节,记录给定电压U

西电微机原理大作业

科目:微机原理与系统设计授课老师:李明、何学辉 学院:电子工程学院 专业:电子信息工程 学生姓名: 学号:

微机原理硬件设计综合作业 基于8086最小方式系统总线完成电路设计及编程: 1、扩展16K字节的ROM存储器,起始地址为:0x10000; Intel 2764的存储容量为8KB,因此用两片Intel 2764构成连续的RAM存储区域的总容量为2 8KB=16KB=04000H,鉴于起始地址为10000H,故最高地址为 10000H+04000H-1=13FFFH 电路如图

2、扩展16K 字节的RAM 存储器,起始地址为:0xF0000; Intel 6264的存储容量为8KB ,因此用两片Intel 6264构成连续的RAM 存储区域的总容量为2 8KB=16KB=04000H ,鉴于起始地址为F0000H ,故最高地址为 F0000H+04000H-1=F3FFFH 片内地址总线有13根,接地址总线的131~A A ,0A 和BHE 用于区分奇偶片,用74LS155作译码电路,如图所示 3、设计一片8259中断控制器,端口地址分别为:0x300,0x302; 鉴于端口地址分别是300H 和302H ,可将82590A 接到80861A ,其他作译码。电路如图:

4、设计一片8253定时控制器,端口地址分别为:0x320,0x322,x324,0x326; 根据端口地址可知,825301,A A 应该分别接到8086的12,A A ,其余参与译码。电路如图:

5、设计一片8255并行接口,端口地址分别为:0x221,0x223,x225,0x227; 由于端口地址为奇地址,8086数据总线应该接158~D D ,且BHE 参与译码。根据端口地址可得825501,A A 应该分别接到8086的12,A A ,其余参与译码。电路如图:

计组实验报告

计算机组成原理实验报告 实验1:VERILOG 设计基础 专业班级:14级计算机二班 学号:14048001 姓名:杨娜 学号:14048003 姓名:周蓉 实验地点:理工楼901 实验时间:2016年5月14日

实验十VGA显示控制器的设计 一、实验目的 1、学习VERILOG的基本语法和编程规则 2、掌握通用寄存器等常用基本数字模块的VERILOG描述和基本设计方法 3、理解带使能控制和异步清零的8位寄存器的设计原理 4、掌握使用VERILOG设计和验证带使能控制和异步清零的8位寄存器的方法 5、掌握移位寄存器的设计方法 二、实验任务 1、设计一个带使能控制和异步清零的8位寄存器REG8X,实现8位输入的锁存,在时钟的上升沿处得到一个8位的输出和一个8位的反向输出,将结果显示在发光二极管。 模块的端口描述如下: 模块的参考物理结构如下: R7 R6 R i R 0 7 6 i 0 带使能控制和异步清零的8位寄存器 模块的使用注意事项

1.数据源D(7..0)一直加在寄存器的数据输入端; 2.周期性的时钟信号Clock一直加在寄存器的时钟输入端 3.使能信号Enable控制寄存器是否接受数据。当Enable = '0'时,寄存器不 接受数据,保持原来的状态不变;当Enable = '1'时,在时钟信号Clock正 跳变时,寄存器接受并保存当时D(7..0)的数据; 4.本寄存器其它方面的功能与上述的寄存器相同。 完成的参考电路图如下:dout=q 2、设计一个有左、右移位功能的8位寄存器REGSHIFT8,并仿真验证。

三、实验内容 1、通过输入数据先进行计算,并通过实验进行验证REG8X。 (1)、将清零信号Resetn(sw17)设为0,将输入信号D(sw7~sw0)设为10101010,观察输出信号Q(ledr7~ledr0)和Qb(ledg7~ledg0),观察并记录输出。 (2)、将清零信号Resetn(sw17)设为1,在时钟信号处输入一个上升沿(按下key0),观察并记录输出。 (3)、将输入信号D(sw7~sw0)设为01010101,观察并记录输出。 (4)、在时钟信号处输入一个上升沿(按下key0),观察并记录输出。 (5)、自行完善设计表格,观察并记录测试输出。 实验数据表 2、通过输入数据先进行计算,并通过实验进行验证REGSHIFT8。 (1)、测试清零信号Resetn (2)、测试移位功能 (3)、测试寄存功能 (4)、自行设计表格观察并记录测试输出。 实验数据表

#电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

西电微机原理2010试题

西安电子科技大学微机原理试题 姓名学号总分 一.填空题(每空1分,共30分) 1)15的8位二进制补码为,-15的8位二进制补码为。 2)某8位二进制补码为80H,其十进制表示为。 3)字符B的ASCII码为,字符0的ASCII码为。 4)8086CPU总线按功能可分为数据总线,总线和总线。 5)8086CPU数据总线包含条数据线,最多可寻址的存储器容量为。 6)CPU内部用于计算的部分为,用于保存下一条要执行的指令地址的 为。 A) 程序状态字B) 程序计数器C) ALU D) 工作寄存器 7)经常用作循环次数的寄存器是,用于I/O端口寻址的寄存器是。 A) AX B) BX C) CX D) DX 8)指令MOV CX, 1000的结果是CH= 。 9)将0D787H和4321H相加后,标志位CF= ,SF= ,ZF= , OF= ,AF= ,PF= 。 10)寄存器SI中能够表示的最大有符号数为,最小有符号数为。 11)设(DS)=4000H,(BX)=0100H,(DI)=0002H,(4002)=0A0AH,(40100)=1234H,(40102) =5678H,求以下指令分别执行后AX寄存器的值。 MOV AX , [2] (AX)= 。 MOV AX , [BX] (AX)= 。 MOV AX , [BX][DI] (AX)= 。 MOV AX , 1[BX] (AX)= 。 12)用一条指令将AX寄存器低四位清零,其余位不变:。 13)用一条指令将AX寄存器高四位取反,其余位不变:。 14)用一条指令将AX高8位与低8位交换:。 15)用一条指令将AL中的大写字母变成相应的小写:。 二.判断题(每题1分,共10分) 以下语句是语法正确的打√,语法错误打×,其中TABLE和TAB为两个字节类型的变量。 1)MOV DS , 1000H 2)MOV DS , TABLE 3)MOV [1200H] , [1300H] 4)ADD AX , BX , CX 5)XCHG AL , CL 6)CALL AL 7)MUL AX , BX 8)JU L1 9)SHR CL , CL

计组-加法器实验报告

半加器、全加器、串行进位加法器以及超前进位加法器 一、实验原理 1.一位半加器 A和B异或产生和Sum,与产生进位C 2.一位全加器 将一位半加器集成封装为halfadder元件,使用两个半加器构成一位的全加器 3.4位串行进位加法器 将一位全加器集成封装为Fulladder元件,使用四个构成串行进位加法器

4.超前进位加法器(4位) ⑴AddBlock 产生并行进位链中的ti(即Cthis)和di(即Cpass),以及本位结果Sum ⑵进位链(Cmaker) 四位一组并行进位链,假设与或非门的级延迟时间为1.5ty,与非门的延迟时间为1ty,在di和ti产生之后,只需2.5ty就可产生所有全部进位

⑶超前进位加法器 将以上二者结合起来即可完成,A和B各位作为各个AddBlock的输入,低一位的进位Ci-1作为本位AddBlock的C-1的输入。各个AddBlock输出的C_this和C_pass作为对应的Cmaker的thisi和passi的输入。

二、实验器材 QuartusII仿真软件,实验箱 三、实验结果 1.串行进位加法器结果 2.超前进位加法器结果

四、实验结果分析 1.实验仿真结果显示串行加法器比超前进位加法器快,部分原因应该是电路结构优化 不到位。另外由于计算的位数比较少,超前进位加法链结构较复杂,所以优势没体现出来,反倒运作的更慢一点。当位数增加的时候,超前进位加法器会比串行的更快。 2.波形稳定之前出现上下波动,应该与“竞争冒险”出现的情况类似,门的延迟和路径 的不同导致了信号变化时到达的时间有先有后,因此在最终结果形成前出现了脉冲尖峰和低谷;另外也可能部分原因由于电路结构优化的不到位所致

电力电子技术实验报告

实验一 DC-DC 变换电路的性能研究 一、实验目的 熟悉Matlab 的仿真实验环境,熟悉Buck 电路、Boost 电路、Cuk 电路及单端反激变换(Flyback )电路的工作原理,掌握这几种种基本DC-DC 变换电路的工作状态及波形情况,初步了解闭环控制技术在电力电子变换电路中的应用。 二、实验内容 1.Buck 变换电路的建模,波形观察及相关电压测试 2.Boost 变换电路的建模,波形观察及相关电压测试; 3.Cuk 电路的建模,波形观察及电压测试; 4.单端反激变换(Flyback )电路的建模,波形观察及电压测试,简单闭环控制原理研究。 (一)Buck 变换电路实验 (1)电感电容的计算过程: V V 500=,电流连续时,D=0.4; 临界负载电流为I= 20 50 =2.5A ; 保证电感电流连续:)1(20D I f V L s -?= =5 .210002024.0-150????) (=0.375mH 纹波电压 0.2%= s s f LCf D V ?8-10) (,在由电感值0.375mH ,算出C=31.25uF 。 (2)仿真模型如下: 在20KHz 工作频率下的波形如下:

示波器显示的六个波形依次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形。 在50KHz工作频率下的波形如下: 示波器显示的六个波形一次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形; 建立仿真模型如下:

(3)输出电压的平均值显示在仿真图上,分别为49.85,49.33; (4)提高开关频率,临界负载电流变小,电感电流更容易连续,输出电压的脉动减小,使得输出波形应更稳定。 (二)Boost 变换电路实验 (1)电感电容的计算过程: 升压比M= S V V 0=D -11,0V =15V,S V =6V,解得D=60%; 纹波电压0.2%=s c f f D ? ,c f RC 1=,s f =40KHz,求得L=12uH,C=750uf 。 建立仿真模型如下:

电力电子实验报告

电力电子实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验一SCR(单向和双向)特性与触发实验 一、实验目的 1、了解晶闸管的基本特性。 2、熟悉晶闸管的触发与吸收电路。 二、实验内容 1、晶闸管的导通与关断条件的验证。 2、晶闸管的触发与吸收电路。 三、实验设备与仪器 1、典型器件及驱动挂箱(DSE01)—DE01单元 2、触发电路挂箱Ⅰ(DST01)—DT02单元 3、触发电路挂箱Ⅰ(DST01)—DT03单元(也可用DG01取代) 4、电源及负载挂箱Ⅰ(DSP01)或“电力电子变换技术挂箱Ⅱa(DSE03)”—DP01单元 5、逆变变压器配件挂箱(DSM08)—电阻负载单元 6、慢扫描双踪示波器、数字万用表等测试仪器 四、实验电路的组成及实验操作 图1-1 晶闸管及其驱动电路

1、晶闸管的导通与关断条件的验证: 晶闸管电路面板布置见图1-1,实验单元提供了一个脉冲变压器作为脉冲隔离及功率驱动,脉冲变压器的二次侧有相同的两组输出,使用时可以任选其一;单元中还提供了一个单向晶闸管和一个双向晶闸管供实验时测试,此外还有一个阻容吸收电路,作为实验附件。打开系统总电源,将系统工作模式设置为“高级应用”。将主电源电压选择开关置于“3”位置,即将主电源相电压设定为220V;将“DT03”单元的钮子开关“S1”拨向上,用导线连接模拟给定输出端子“K”和信号地与“DE01”单元的晶闸管T1的门极和阴极;取主电源“DSM00”单元的一路输出“U”和输出中线“L01”连接到“DP01”单元的交流输入端子“U”和“L01”,交流主电源输出端“AC15V”和“O”分别接至整流桥输入端“AC1”和“AC2”,整流桥输出接滤波电容(“DC+”、“DC-”端分别接“C1”、“C2”端);“DP01”单元直流主电源输出正端“DC+”接“DSM08”单元R1的一端,R1的另一端接“DE01”单元单向可控硅T1的阳极,T1的阴极接“DP01”单元直流主电源输出负端“DC-”。闭合控制电路及挂箱上的电源开关,调节“DT03”单元的电位器“RP2”使“K”点输出电压为“0V”;闭合主电路,用示波器观测T1两端电压;调节“DT03”单元的电位器“RP2”使“K”点电压升高,监测T1的端电压情况,记录使T1由截止变为开通的门极电压值,它正比于通入T1门极的电流I G;T1导通后,反向改变“RP2”使“K”点电压缓慢变回“0V”,同时监测T1的端电压情况。断开主电路、挂箱电源、控制电路。将加在晶闸管和电阻上的主电源换成交流电源,即“AC15V”直接接“R1”一端,T1的阴极直接接“O”;依次闭合控制电路、挂箱电源、主电路。调节“DT03”单元的电位器“RP2”使“K”点电压升高,监测T1的端电压情况;T1导通后,反向改变“RP2”使“K”点电压缓慢变回“0V”,同时监测并记录T1的端电压情况。通过实验结果,参考教材相关章节的内容,分析晶闸管的导通与关断条件。实验完毕,依次断开主电路、挂箱电源、控制电路。 2、晶闸管的触发与吸收电路: 将主电源电压选择开关置于“3”位置,即将主电源相电压设定为220V;用导线连接“DT02”单元输出端子“OUT11”和“OUT12”与“DE01”单元的脉冲变压器输入端“IN1”和“IN2”;取主电源的一路输出“U”和输出中线“L01”连接到“DP01”单元的交流输入端子“U”和“L01”;“DP01”单元的同步信号输出端“A”和“B”连接到锯齿波移相触发电路的同步信号输入端“A”和“B”;将“DE01”的脉冲变压器输出“g1”和“k1”分别接至单向

西电微机原理实验报告

微机系统实验报告 班级:031214 学号:03121370 姓名:孔玲玲 地点:E-II-312 时间:第二批

实验一汇编语言编程实验 一、实验目的 (1)掌握汇编语言的编程方法 (2)掌握DOS功能调用的使用方法 (3)掌握汇编语言程序的调试运行过程 二、实验设备 PC机一台。 三、实验内容 (1)将指定数据区的字符串数据以ASCII码形式显示在屏幕上,并通过DOS功能 调用完成必要提示信息的显示。 (2) 在屏幕上显示自己的学号姓名信息。 (3)循环从键盘读入字符并回显在屏幕上,然后显示出对应字符的ASCII码,直到 输入“Q”或“q”时结束。 (4)自主设计输入显示信息,完成编程与调试,演示实验结果。 考核方式:完成实验内容(1)(2)(3)通过, 完成实验内容(4)优秀。 实验中使用的DOS功能调用:INT 21H 表3-1-1 显示实验中可使用DOS功能调用 AH 值功能调用参数结果 1 键盘输入并回显AL=输出字符 2 显示单个字符(带Ctrl+Break检查) DL=输出字符光标在字符后面 6 显示单个字符(无Ctrl+Break检查) DL=输出字符光标在字符后面 8 从键盘上读一个字符AL=字符的ASCII码 9 显示字符串DS:DX=串地址,‘$’为结束字符光标跟在串后面 4CH 返回DOS系统AL=返回码

四、实验步骤 (1)运行QTHPCI软件,根据实验内容编写程序,参考程序流程如图3-1-1所示。 (2)使用“项目”菜单中的“编译”或“编译连接”命令对实验程序进行编译、连接。 (3)“调试”菜单中的“进行调试”命令进入Debug调试,观察调试过程中数据传输指令执行后各寄存器及数据区的内容。按F9连续运行。 (4)更改数据区的数据,考察程序的正确性。 五、实验程序 DATA SEGMENT BUFFER DB '03121370konglingling:',0AH,0DH,'$' BUFFER2 DB 'aAbBcC','$' BUFFER3 DB 0AH,0DH,'$' DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DA TA START: MOV AX,DA TA MOV DS,AX mov ah,09h mov DX,OFFSET BUFFER int 21h

计组课设实验报告

《计算机组成原理与系统结构》课程设计 实 验 报 告 课题:两个16位二进制数加法计算 班级: 成员: 完成日期:2013年10月11日

一:课程设计步骤 1.确定设计目标 综合考虑实验条件及自身能力水平,以及设计功能的可靠性和实用性,我们小组决定将设计目标定为“两个16位二进制数相加”。分两次分别输入两个加数的低八位和高八位,输出两个16位二进制数相加的结果。 2.确定指令系统 (1)数据格式 模型机规定数据采用定点整数补码表示,字长为8位,其格式如下: 7 6 5 4 3 2 1 0 符号尾数 (2)指令格式 模型机设计四大类指令共16条,其中包括算术逻辑指令、I/O指令、访问及转移指令和停机指令。 ①算术逻辑指令 设计九条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下: 7 6 5 4 3 2 1 0 OP-CODE RS RD 其中,OP-CODE为操作码,RS为源寄存器,RD为目的寄存器,并规定: RS或RD 选定的寄存器 00 01 10 R0 R1 R2 ②I/O指令 输入(1N)和输入(OUT)指令采用单字节指令,其格式如下: 7 6 5 4 3 2 1 0 OP-CODE addr RD 其中,addr=01时,选中“INPUT DEVICE”中的开关组作为输入设备,addr=10时,选中“INPUT DEVICE”中的数码块作为输入设备。 ③访问指令及转移指令 模型机设计两条访问指令,即存数(STA)、取数(LDA),两条颛臾指令,即无条件转移(JMP)、结果为零或有进位转移(BZC),指令格式如下: 7 6 5 4 3 2 1 0 00 M OP-CODE RD D 其中,OP-CODE为操作码,rd为目的寄存器地址(LDA、STA指令使用)。D为位移量(正负均可),M为寻址模式,其定义如下: 寻址模式有效地址E 说明 00 E=D 直接寻址

《电力电子技术》实验报告-1

河南安阳职业技术学院机电工程系电子实验实训室(2011.9编制) 目录 实验报告一晶闸管的控制特性及作为开关的应用 (1) 实验报告二单结晶体管触发电路 (3) 实验报告三晶闸管单相半控桥式整流电路的调试与分析(电阻负载) (6) 实验报告四晶闸管单相半控桥式整流电路的研究(感性、反电势负载) (8) 实验报告五直流-直流集成电压变换电路的应用与调试 (10)

实验报告一晶闸管的控制特性及作为开关的应用 一、实训目的 1.掌握晶闸管半控型的控制特点。 2.学会晶闸管作为固体开关在路灯自动控制中的应用。 二、晶闸管工作原理和实训电路 1.晶闸管工作原理 晶闸管的控制特性是:在晶闸管的阳极和阴极之间加上一个正向电压(阳极为高电位);在门极与阴极之间再加上一定的电压(称为触发电压),通以一定的电流(称为门极触发电流,这通常由触发电路发给一个触发脉冲来实现),则阳极与阴极间在电压的作用下便会导通。当晶闸管导通后,即使触发脉冲消失,晶闸管仍将继续导通而不会自行关断,只能靠加在阳极和阴极间的电压接近于零,通过的电流小到一定的数值(称为维持电流)以下,晶闸管才会关断,因此晶闸管是一种半控型电力电子元件。 2.晶闸管控制特性测试的实训电路 图1.1晶闸管控制特性测试电路 3.晶闸管作为固体开关在路灯自动控制电路中的应用电路 图1.2路灯自动控制电路 三、实训设备(略,看实验指导书)

四、实训内容与实训步骤(略,看实验指导书) 五、实训报告要求 1.根据对图1.1所示电路测试的结果,写出晶闸管的控制特点。记录BT151晶闸管导通所需的触发电压U G、触发电流I G及导通时的管压降U AK。 2.简述路灯自动控制电路的工作原理。

西电微机原理上机

4.37 (上机题)编写程序实现,将缓冲区BUFFER中的100个字按递增排序,并按下列格式顺 序显示: 数据1 <原序号> 数据2 <原序号> …… 算法流程图: 调试问题、心得体会: 通过这道题,熟悉了流程图画法,掌握了产生随机数,“冒泡法”排序,子函数编写调用等的基本过程,尤其对于中断调用,并利用ASCII码回显和对课本字节型数据“冒泡法”排序改进为字形排序的过程,是我受益匪浅。并且亲身实践了源程序的汇编、调试也连接。 问题:将字节型冒泡法直接应用于该题,导致出错,该题存储的是字型数据!

原因在于只是排列的AL中的数值,并不是产生的随机数! 同时对于字型与字节型在运算类指令中的应用还是有误,以及其他的一些小错误,应加以改善! 运行结果: 程序代码: STACK SEGMENT STACK 'STACK' DW 100H DUP(?) TOP LABEL WORD STACK ENDS DATA SEGMENT BUFFER LABEL WORD

X=17 REPT 100 X=(X+80)mod 43 DW X ENDM BUF DW 100 DUP(?) DATA ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,ES:DATA,SS:STACK START: MOV AX,DATA MOV DS,AX MOV ES,AX MOV AX,STACK MOV SS,AX LEA SP,TOP MOV CX,100 LEA SI,BUFFER LEA DI,BUF L1: MOV AX,[SI] INC SI INC SI MOV [DI],AX INC DI INC DI LOOP L1 MOV CX,100 DEC CX LEA SI,BUFFER PUSH CX ADD CX,CX ADD SI,CX POP CX L2: PUSH CX PUSH SI L3: MOV AX,[SI] CMP AX,[SI-2] JAE NOXCHG XCHG AX,[SI-2] MOV [SI],AX NOXCHG:

计组实验报告.

武汉大学计算机学院计算机科学与技术专业 CPU设计实验报告 实验名称:开放式实验CPU设计课题名称: 计算机组成原理 班级: 指导教师:徐爱萍 组长: 组员: 二零一五年三月

目录 目录 (1) 1 实验环境 (2) 1.1 Quartus Ⅱ介绍 (2) 1.2 硬件描述语言(VHDL) (3) 1.3实验的主要成果 (3) 2 实验要求 (5) 2. 1 指令格式要求 (5) 2. 2 指令流程及微信号序列分析 (6) 2.2.1 ADD指令分析 (6) 2.2.2 ADC指令分析 (7) 2.2.3 SUB指令分析 (7) 2.2.4 SBC指令分析 (7) 2.2.5 INC指令分析 (7) 2.2.6 DEC指令分析 (8) 2.2.7 SHL指令分析 (8) 2.2.8 SHR指令分析 (8) 2.2.9 MOVR指令分析 (8) 2.2.10 MOVD指令分析 (9) 2.2.11 LDRR指令分析 (9) 2.2.12 STRR指令分析 (10) 2.2.13 JMP指令分析 (10) 2.2.14 JRC指令分析 (11) 2.2.15 JRZ指令分析 (11) 2.2.16 JRS指令分析 (11) 2.2.17 CLC指令分析 (11) 2.2.18 STC指令分析 (11) 3.部件仿真实验 (11) 3.1 八个通用寄存器设计与仿真 (11) 3.1.1 设计代码 (11) 3.1.2 RTL连接图 (17) 3.1.3 仿真过程 (17) 3.2算术逻辑单元设计与仿真 (18) 3.2.1 设计代码 (18) 3.2.2 RTL连接图 (21) 3.2.3 仿真过程 (22) 4. CPU设计 (23) 4.1取指设计 (23) 4.2指令译码的设计 (25) 4.3执行部分设计 (28) 4.4存储器部分设计 (31) 4.5通用寄存器组设计 (32)

电力电子实验报告

实验题目:MPD-15实验设备《电力电子技术》班级:自动化1405 姓名:KZY 学号:0901140450X 指导老师:XXX

实验一、三相脉冲移相触发电路 1.实验目的:熟悉了解集成触发电路的工作原理、双脉冲形成过程及掌握集成触发电路的 应用。 2.实验内容:集成触发电路的调试及各点波形的观察与分析。 3.实验设备:YB4320A型双线示波器一台;万用表一块;MPD-15实验设备中“模拟量可逆 调速系统”控制大板中的“脉冲触发单元”。 4.实验接线:见图1 图1 该实验接好三根线:即SZ与SZ1,GZ与GND,U GD与U CT连接好就行了。 5.实验步骤: (1)将实验台左下方的三相电源总开关QF1合上;(其它开关和按钮不要动) (2)将模拟挂箱上左边的电源开关拨至“通”位置,此时控制箱便接入了工作电源和三相交流同步电源U sa U sb U sc (注:U sa U sb U sc 与主回路电压:U A16 U B16 U C16相位一致)。 (3)将模拟挂箱上正组脉冲开关拨至“通”位置,此时正组脉冲便接至了正组晶闸管。 (4)用示波器观察U sa U sb U sc孔的相序是否正确,相位是否依次相差120°(注:用示波器的公共端接GND孔,其它两信号探头分别依次检查三个同步信号)。 (5)触发器锯齿波斜率的整定 (6)触发器相位特性整定:

实验二三相桥式整流电路的研究 一、实验目的 1、熟悉三相桥式整流电路的组成、研究及其工作原理。 2、研究该电路在不同负载(R、R+L、R+L+VDR)下的工作情况,波形及其特性。 3、掌握晶体管整流电路的试验方法。 二、实验设备 1、YB4320A型双线示波器一台 2、万用表一块 3、模拟量挂箱一个 4、MPD-08试验台主回路 三、实验接线 1、先断开三相电源总开关QF1; 2、触发器单元接线维持实验一线路不变; 3、主回路接线按图5进行。 A N0 图5 三相桥式整流电路(虚线部分用导线接好) 四、实验步骤(注意:根据表1中 所对应的Uct数据来调节Uct大小)

西电机电院微机原理上机答案

汇编语言上机题 姓名:学号:成绩: 实验一、上机过程及DEBUG应用 编写程序,建立数据段DATA,将你的姓名(汉语拼音)及学号存入DATA数据段的BUFFER1区域,然后利用程序将BUFFER1区域中的字符串(姓名及学号)依次传送到从BUFFER2开始的内存区域中去。 上机过程与要求 1.建立原程序: 源程序文件名为,源程序清单如下: data segment buffer1 db 'hepan04105038' buffer2 db 13 dup() data ends code segment ASSUME CS:CODE,DS:DATA START: mov ax,data mov ds,ax mov es,ax lea si,buffer1 lea di,buffer2 mov cx,0d cld rep movsb mov ah,4ch int 21h code ends end start 2.汇编后生成的obj文件名为buffer .OBJ 3.连接后生成的目标文件名为buffer .EXE 4.DEBUG调试:在DEBUG下,利用U、D、G、R等命令对EXE文件进行调试后,相关信息如下: (1)表1-1 反汇编清单中所反映的相关信息 *注:最后一条指令是对应于代码段中最后一条指令 (2)在未执行程序之前,用D命令显示内存区域BUFFER1及BUFFER2中的内容, 其相关信息如表1-2所示。 表1-2 未执行程序之前的数据区内容

(3)执行程序以后用D命令显示内存区域的相关信息,如表1-3。 表1-3 执行程序之后的数据区内容 (4)用R命令检查寄存器的内容如表1-4所示。 回答问题 a)宏汇编命令MASM的作用是什么 答:产生OBJ文件。 b)连接命令LINK的作用是什么连接后生成什么文件 答:产生EXE文件,生成EXE文件。 c)DEBUG下U命令的作用是什么 答:反汇编被调试命令。 d)DEBUG下D命令的作用是什么 答:显示内存单元的内容。 e) 在DEBUG下如何执行.EXE文件,写出执行命令的常用格式。 答:DEBUG 。 实验二、寻址方式练习 掌握8086/8088的寻址方式是学习汇编语言的基础,因此,我们以数据传送指令为例编写了下面的程序,通过该程序对主要的几种寻址方式进行练习。 DATA1 SEGMENT M1 DB 0A0H,0A1H,0A2H,0A3H,0A4H,0A5H M2 DB 0A6H,0A7H,0A8H,0A9H,0AAH,0ABH,0ACH,0ADH,0AEH,0AFH DATA1 ENDS DATA2 SEGMENT N1 DB 0B0H,0B1H,0B2H,0B3H, 0B4H,0B5H N2 DB 0B6H,0B7H, 0B8H,0B9H,0BAH,0BBH, 0BCH,0BDH,0BEH,0BFH DATA2 ENDS STACK SEGMENT PARA STACK ‘STACK’ DB 0C0H,0C1H,0C2H,0C3H, 0C4H,0C5H DB 0C6H,0C7H, 0C8H,0C9H,0CAH,0CBH, 0CCH,0CDH,0CEH,0CFH

广工计组实验报告

计算机组成原理实验报告 课程名称计算机组成原理实验 学院计算机学院 专业班级 学号 学生姓名 指导教师 2015年 06 月20 日

计算机学院( 学号: 姓名教师评定 实验题目基础汇编语言程序设计实验 实验一:基础汇编语言程序设计实验 一、实验目的: (1)学习和了解TEC-XP+教学实验系统监控命令的用法; (2)学习和了解TEC-XP+教学实验系统的指令系统; (3)学习简单的TEC-XP+教学实验系统汇编语言程序。 二、实验设备与器材: TEC-XP+教学实验系统,仿真终端软件。 三、实验内容: 1、学习联机使用TEC-XP+教学实验系统和仿真终端软件PCEC; 2、学习使用WINDOWS界面的串口通讯软件; 3、使用监控程序的R命令显示/修改寄存器内容、D命令显示存储器内容、E命令修改存储器内容;

4、使用A命令编写一小段汇编程序,U命令反汇编刚输入的程序,用G命令连续运行改程序,用T、P命令单步运行并观察程序单步执行的情况。 四、实验步骤: 一、实验具体操作步骤: 1、准备一台串口工作良好的PC机; 2、将TEC-XP放在实验台上,打开实验箱的盖子,确定电源处于断开状态; 3、将黑色电源线一端接220V交流电源,另一端插在TEC--XP试验箱电源插座上; 4、取出通讯线,将通信线的9芯插头接在试验箱的串口“COM1”或“COM2”上,另一端接到PC机的串口上; 5、将TEC-XP实验系统左下方的6个黑色控制器开关置为001100,,控制开关的功能在开关上、下方有标识;开关拨向上方表示“1”,拨向下方表示“0”,“x”表示任意,其他实验相同; 6、打开电源,船形开关和5v电源指示灯亮。 7、在PC机上运行PCEC16.EXE文件,直接回车。 8、按一下“RESET”按键,再按一下“START”按键在主机上显示: TEC—2000 CRT MONITOR Version 1.0 April 2001 Computer Architectur Lab,Tsinghua University Programmed by He Jia > 二、实验注意事项: 几种常见的工作方式(开关拨到上方表示为1,拨到下方为0)

实验报告-电力电子仿真实验

电力电子仿真实验 实验报告 院系:电气与电子工程学院 班级:电气1309班 学号: 1131540517 学生姓名:王睿哲 指导教师:姚蜀军 成绩: 日期:2017年 1月2日

目录 实验一晶闸管仿真实验 (3) 实验二三相桥式全控整流电路仿真实验 (6) 实验三电压型三相SPWM逆变器电路仿真实验 (18) 实验四单相交-直-交变频电路仿真实验 (25) 实验五VSC轻型直流输电系统仿真实验 (33)

实验一晶闸管仿真实验 实验目的 掌握晶闸管仿真模型模块各参数的含义。 理解晶闸管的特性。 实验设备:MATLAB/Simulink/PSB 实验原理 晶闸管测试电路如图1-1所示。u2为电源电压,ud为负载电压,id为负载电流,uVT 为晶闸管阳极与阴极间电压。 图1-1 晶闸管测试电路 实验内容 启动Matlab,建立如图1-2所示的晶闸管测试电路结构模型图。

图1-2 带电阻性负载的晶闸管仿真测试模型 双击各模块,在出现的对话框内设置相应的模型参数,如图1-3、1-4、1-5所示。 图1-3 交流电压源模块参数

图1-4 晶闸管模块参数 图1-5 脉冲发生器模块参数 固定时间间隔脉冲发生器的振幅设置为5V,周期与电源电压一致,为0.02s(即频率为50Hz),脉冲宽度为2(即7.2o),初始相位(即控制角)设置为0.0025s(即45o)。 串联RLC分支模块Series RLC Branch与并联RLC分支模块Parallel RLC Branch的参数设置方法如表1-1所示。 元件串联RLC分支并联RLC分支 类别电阻数值电感数值电容数值电阻数值电感数值电容数值单个电阻R0inf R inf0 单个电感0L inf inf L0 单个电容00C inf inf C

西电微机原理上机作业第三

微机上机作业三 容: 编写如下程序,并在机器上调试成功。程序采用菜单式选择,可以接收用户从键盘输入的五个命令(1-5),各命令功能分别为: (1)按下“1”键,完成字符串小写字母变成大写字母。 (2)按下“2”键,完成找最大值(二选一)。 (3)按下“3”键,完成排序(二选一)。 (4)按下“4”键,显示时间。 (5)按下“5”键,结束程序运行,返回系统提示符。 汇编程序: STACK SEGMENT STACK DB 256 DUP(?) TOP LABEL WORD STACK ENDS DATA SEGMENT TABLE DW G1, G2, G3, G4, G5 STRING0 DB' Form the school ID is 02111460 Li Cheng',0DH,0AH,'$' STRING1 DB '1. Change small letters into capital letters of string;', 0DH, 0AH, '$' STRING2 DB '2. Find the maximum of string;', 0DH, 0AH, '$' STRING3 DB '3. Sort for datas;', 0DH, 0AH, '$' STRING4 DB '4. Show Time;', 0DH, 0AH, '$' STRING5 DB '5. Exit.', 0DH, 0AH, '$' STRINGN DB 'Input the number you select (1-5) : $' IN_STR DB 'Input the string (including letters & numbers, less than 60 letters) :', 0DH, 0AH, '$' PRESTR DB 'Original string : $' NEWSTR DB 'New string : $' OUT_STR DB 'The string is $' MAXCHR DB 'The maximum is $' IN_NUM DB 'Input the numbers (0 - 255, no more than 20 numbers) : ', 0DH, 0AH, '$' OUT_NUM DB 'Sorted numbers : ', 0DH, 0AH, '$' IN_TIM DB 'Correct the time (HH:MM:SS) : $' HINTSTR DB 'Press ESC, go back to the menu; or press any key to play again!$' KEYBUF DB 61 DB ? DB 61 DUP (?) NUMBUF DB ? DB 20 DUP (?) DATA ENDS

相关主题
文本预览
相关文档 最新文档