当前位置:文档之家› fpga触发器与计数器实验报告

fpga触发器与计数器实验报告

fpga触发器与计数器实验报告
fpga触发器与计数器实验报告

上海电力学院FPGA应用开发实验报告

实验名称:触发器与计数器

专业:电子科学与技术

姓名:

班级:

学号:

1.触发器功能的模拟实现

实验目的:

1.掌握触发器功能的测试方法。

2.掌握基本RS触发器的组成及工作原理。

3.掌握集成JK触发器和D触发器的逻辑功能及触发方式。

4.掌握几种主要触发器之间相互转换的方法。

5.通过实验,体会EPLD芯片的高集成度和多I/O口。

实验说明:

将基本RS触发器,同步RS触发器,集成J-K触发器,D触发器同时集一个FPGA芯片中模拟其功能,并研究其相互转化的方法。

实验的具体实现要连线测试,实验原理如图所示:

2.计数器

在VHDL中,可以用Q<=Q+1简单地实现一个计数器,也可以用LPM来实现。下面分别对这两种方

法进行介绍。

方法一:

第1步:新建一个Quartus项目。

第2步:建立一个VHDL文件,实现一个8位计数器。计数器从“00000000”开始计到“”,计数器的模是256。计数器模块还需要包含一个时钟clock、一个使能信号en、一个异步清0信号aclr 和一个同步数据加载信号sload。模块符号如下图所示:

第3步:VHDL代码如下:

第4步:将VHDL文件另存为,并将其设定为项目的最顶层文件,再进行语法检查。

第5步:语法检查通过以后,用KEY[0]表示clock,SW[7..0]表示data,SW[8~10]分别表示en、sload和aclr;LEDR[7..0]表示q。

第6步:引脚分配完成后,编译并下载。

第7步:修改上述代码,把计数器的模更改为100,应如何操作。

模为100的计数器,VHDL代码如下:

方法二:使用LPM实现8位计数器。

LPM是指参数化功能模块,用LPM可以非常方便快捷地实现一个计数器。

第1步:选择Tools->MegaWizard Plug-In Manager命令,打开如下图所示的对话框。

第2步:直接单击Next按钮,出现如下图所示的对话框。如图所示,在左边的选择框中选择“LPM_COUNTER”,在输出文件类型单选框中选中“VHDL”,并输入文件名为“counter_lpm”。

第3步:完成设置后直接单击Next按钮,打开如下图所示的对话框。在输出位数的下拉框中选择“8 bits”,在计数方向的单选框中选中“Up only”。这个设置表示生成的计数器是8位加法计数器。

第4步:独立设计模为七的计数器

第4步:单击Next按钮后,出现如下图所示的对话框。在该对话框中选择添加额外的端口,在这里选中“Count Enable”选项,表示添加了一个计数使能端口,此时在左边的图形符号中可以看到多了一个“cnt_en”的引脚。

第5步:单击Next按钮,打开如下图所示的下一个对话框。在同步输入(Synchronous inputs)处选择“Load”,在异步输入(Asynchronous inputs)处选择“Clear”。表示在计数器中添加了一个同步置数端和一个异步清0端,在左边的图形符号中可以看到又添加了一个aclr、sload和用于置数用的data[7..0]。

相关主题
文本预览
相关文档 最新文档