当前位置:文档之家› 555定时器组成的振荡器 全面

555定时器组成的振荡器 全面

555定时器组成的振荡器 全面
555定时器组成的振荡器 全面

555定时器组成的振荡器

晶体振荡器的作用是产生时间标准信号。数字钟的精度,主要取决于时间标准信号的频率及其稳定度。因此,一般采用石英晶体振荡器经过分频后获得时间标准信号。也可采用由门电路或555定时器构成的多谐振荡器作为时间标准信号源。

555定时器可以实现模拟和数字两项功能。

1.可产生精确的时间延迟和振荡,内部有3个5kΩ的电阻分压器,故称555。 2.电源电压电流范围宽,双极型:5~16V ;CMOS :3~18V 。 3.可以提供与TTL 及CMOS 数字电路兼容的接口电平。 4.可输出一定的功率,可驱动微电机、指示灯、扬声器等。

5.应用:脉冲波形的产生与变换、仪器与仪表、测量与控制、家用电气与电子玩具等领域。

6.TTL 单定时器型号的最后3位数字为555,双定时器的为556;CMOS 单定时器的最后4位数为7555,双定时器的为7556。它们的逻辑功能和外部引线排列完全相同。 555定时器的集成电路外形、引脚、内部结构如图4-1-1所示。

(a) 外引线排列图 (b) 内部结构图

GND :接地端

TR :低触发端 OUT :输出端 R :复位端

CO :控制电压端 TH :高触发端 D :放电端 V CC :电源端

图4-1-1 555定时器外引线排列及内部结构图

1kHz 秒脉冲多谐振荡器

555定时器构成的多谐振荡器如图4-1-3所示。

图4-1-3 555定时器构成的1kHz 秒脉冲多谐振荡器原理图

该振荡器的工作原理是:接通V CC 后,V CC 经R 44和R 45对C 1充电。当u c 上升到CC V 3

2时,u o =0,T 导通,C 1通过R 45和T 放电,u c 下降。当u c 下降到CC V 3

1时,u o 又由0变为1,T 截止,V CC 又经R 44和R 45对C 1充电。如此重复上述过程,在输出端u o 产生了连续的矩形脉冲。

振荡频率和占空比的估算:

1.电容C 充电时间:145441)(7.0C R R t P += 2.电容C 放电时间:14527.0C R t P = 3.电路谐振频率f 的估算:

振荡周期为:14544)2(7.0C R R T += 振荡频率为:1

454414544)2(43.1)2(7.011C R R C R R T f +≈+==

4.占空比D :45

4445

44

145441454412)2(7.0)(7.0R R R R C R R C R R T t D p ++=++==

图4-1-6为555定时器构成叮咚门铃原理图。可以看出该电路就是前面555震荡电路的应用,就是有555震荡电路改进得来的。按钮S 、R 4、C 1构成冲放电路。4脚的电压是冲放电路中C 1的电压。

图4-1-6 叮咚门铃原理图

根据图4-1-6画出图4-1-7所示555定时器构成的叮咚门铃接线图,并细心装配。完成后,必须再仔细检查焊点和连线是否符合要求,元器件到位是否准确,电解电容器的极性是

否与图纸一致,经检查无误后,将集成电路的④脚与电源直接相连,可听出扬声器中发出 的声音。按下S ,并调整R 2、R 3和C 2的数值可改变声音的频率,可以听出C 2越小频率声

音的频率越 。断开S ,调整电阻R 1的阻值,此时扬声器中发出 的声音。

图4-1-7叮咚门铃接线图

表4-1-2叮咚门铃电路制作元件清单

通过前面的做一做,我们知道图4-1-6所示电路能发出“叮咚”的声音。该电路实际上是用NE555集成电路接成的多谐振荡器。当按下S,电源经V D2对C1充电,当集成电路4脚(复位端)电压大于1V时,电路振荡,扬声器中发出“叮”声。松开按钮S,C1电容储存的电能经R4电阻放电,但集成电路④脚继续维持高电平而保持振荡,但这时因R1电阻也接入振荡电路,振荡频率变低,使扬声器发出“咚”声。当C1电容器上的电能释放一定时间后,集成电路4脚电压低于IV,此时电路将停止振荡。再按一次按钮,电路将重复上述过程。

一、单稳态触发器

1.单稳态触发器的特点

单稳态触发器具有下列特点:第一,它有一个稳定状态和一个暂稳状态;第二,在外来触发脉冲作用下,能够由稳定状态翻转到暂稳状态;第三,暂稳状态维持一段时间后,将自

动返回到稳定状态,而暂稳状态时间的长短,与触发脉冲无关,仅决定于电路本身的参数。

2.555定时器构成的单稳态触发器的电路组成及其工作原理 单稳态触发器的组成如图4-1-8所示。

接通V CC 后瞬间,V CC 通过R 对C 充电,当u c 上升到CC V 3

2

时,比较器C 1输出为0,将触发器置0,u o =0。这时Q=1,放电管T 导通,C 通过T 放电,电路进入稳态。 u i 到来时,因为CC i V u 3

1

<

,使C 2=0,触发器置1,u o 又由0变为1,电路进入暂稳态。由于此时Q=0,放电管T 截止,V CC 经R 对C 充电。虽然此时触发脉冲已消失,比较器C 2的输出变为1,但充电继续进行,直到u c 上升到CC V 3

2时,比较器C 1输出为0,将触发器置0,电路输出u o =0,T 导通,C 放电,电路恢复到稳定状态。 3.主要参数的估算

(1) 输出脉冲宽度:t P =1.1RC (2) 恢复时间:t re =3~5R CES ·C (3) 最高工作频率:re

p t t f +=1

max

二、施密特触发器

1.555定时器组成的施密特触发器的电路如图4-1-9(a)所示。只要将555定时器的2号脚和6号脚接在一起,就可以构成施密特触发器。我们简记为“二六一搭”。 2.施密特触发器的工作原理

(1) 当u i =0时,由于比较器C 1=1、C 2=0,触发器置1,即Q =1、0=Q ,u o1=u o =1。u i 升高时,在未到达CC V 3

2以前,u o1=u o =1的状态不会改变。

(2) u i 升高到CC V 3

2时,比较器C 1输出为0、C 2输出为1,触发器置0,即Q =0、1=Q ,u o1=u o =0。此后,u i 上升到V CC ,然后再降低,但在未到达V CC /3以前,u o1=u o =0的状态不会改变。

(3) u i 下降到CC V 3

2

时,比较器C 1输出为1、C 2输出为0,触发器置1,即Q =1、

0=Q ,

u

3.滞回特性及主要参数

(1) 滞回特性

图4-1-10所示是施密特触发器的电压传输特性即输出电压u o与输入电压u i的关系曲线。

CC

i

V

v

3

1

<时,

OH

o

V

v=;当

CC

i

CC

V

v

V

3

2

3

1

<

<时,

o

v保持原状态不变;当

CC

i

V

v

3

2

>

时,

OL

o

V

v=。

图4-1-10施密特触发器滞回特性曲线

(2) 主要参数

正向阈值电压(或叫上触发电平)

+

T

V是指u i上升过程中,使施密特触发器状态翻转,

输出电压u o由高电平跳变到低电平时,所对应的输入电压值叫做正向阈值电压,并用

+

T

V表

示,在图2-1-10中

CC

T

V

V

3

2

=

+

负向阈值电压(或叫下触发电平)

-

T

V是指u i下降过程中,使施密特触发器状态翻转,

输出电压u o由低电平跳变高电平到时,所对应的输入电压u i值叫做负向阈值电压,并用

-

T

V

表示,在图2-1-10中

CC

T

V

V

3

1

=

-

回差电压

T

V

?又叫滞回电压是正向阈值电压

+

T

V与负向阈值电压

-

T

V之差,即

-+-=?T T T V V V 。在图2-2-6中CC CC CC T T T V V V V V V 3

1

3132=-=-=?-+ 。

4.施密特触发器的应用

施密特触发器主要应用于波形的变换与整形,以及构成多谐振荡器等方面,其输入、输出波形如图2-1-11所示。

三、其他振荡电路

1.用CMOS 门电路构成振荡器如图4-1-12所示。

(a) (b) (c)

图4-1-12 CMOS 门电路构成的振荡器

在图4-1-12 (a)中,若取门坎电平V th =V DD /2,则周期T=t 1+t 2=RC ln4 =1.4RC,输出对称方波。图4-1-12 (b)中增加了补偿电阻Rs ,从而减少了电源变化对振荡频率的影响,一般取R S 为10R ,则震荡周期T=(1.4~2.2)RC 。由CMOS 门电路构成的振荡器适用于低频段工作。

2.用TTL 门电路构成振荡器如图4-1-13所示。

(a) (b) (c)

图4-1-13 TTL 门电路构成的振荡器

由TTL门构成的振荡器的工作频率可比CMOS提高一个数量级。在图4-1-9 (a)中,R1、R2一般为1kΩ左右,C l、C2取100pF至100μF,输出频率为几赫至几十兆赫。图4-1-9(b)中增加了调频电位器,R l、R2取值为300~800Ω,Rs取0~600Ω。若取C1、C2为0.22μF,R1、R2为300Ω,则输出为几千赫至几十千赫,用R3进行调节。由TTL门构成的振荡器适合于在几兆赫到几十兆赫的中频段工作。由于TTL门功耗大于CMOS门,并且最低频率因受输入阻抗的影响,很难做到几赫,一般不适合低频段工作。

3.由石英晶体振荡器构成的秒脉冲电路如图4-1-14所示。

图4-1-14晶体振荡器构成的秒脉冲电路

如图4-1-14所示。电路由14级二进制串行计数器CC4060和晶体、电阻及电容构成。CC4060内部所含的门电路和外接元件构成振荡频率为32768Hz的振荡器。经计数器作14级分频后在Q A端得到频率为2Hz(周期为0.5s)的脉冲。

1.在图4-1-6所示多谐振荡器中,试说明欲降低电路振荡频率有哪些方法。

2.试用图4-1-14制作秒脉冲电路。

555定时器的典型应用电路

555定时器的典型应用电路 单稳态触发器 555定时器构成单稳态触发器如图22-2-1所示,该电路的触发信号在2脚输入,R和C是外接定时电路。单稳态电路的工作波形如图22-2-2所示。 在未加入触发信号时,因u i=H,所以u o=L。当加入触发信号时,u i=L,所以u o=H,7脚内部的放电管关断,电源经电阻R向电容C充电,u C按指数规律上升。当u C上升到2V CC/3时,相当输入是高电平,5 55定时器的输出u o=L。同时7脚内部的放电管饱和导通是时,电阻很小,电容C经放电管迅速放电。从加入触发信号开始,到电容上的电压充到2V CC/3为止,单稳态触发器完成了一个工作周期。输出脉冲高电平的宽度称为暂稳态时间,用t W表示。 图22-2-1 单稳态触发器电路图 图22-2-2 单稳态触发器的波形图 暂稳态时间的求取: 暂稳态时间的求取可以通过过渡过程公式,根据图22-2-2可以用电容器C上的电压曲线确定三要素,初始值为u c(0)=0V,无穷大值u c(∞)=V CC,τ=RC,设暂稳态的时间为t w,当t= t w时,u c(t w)=2 V CC/3时。代入过渡过程公式[1-p205]

几点需要注意的问题: 这里有三点需要注意,一是触发输入信号的逻辑电平,在无触发时是高电平,必须大于2 V CC/3,低电平必须小于 V CC/3,否则触发无效。 二是触发信号的低电平宽度要窄,其低电平的宽度应小于单稳暂稳的时间。否则当暂稳时间结束时,触发信号依然存在,输出与输入反相。此时单稳态触发器成为一个反相器。 R的取值不能太小,若R太小,当放电管导通时,灌入放电管的电流太大,会损坏放电管。图22-2-3是555定时器单稳态触发器的示波器波形图,从图中可以看出触发脉冲的低电平和高电平的位置,波形图右侧的一个小箭头为0电位。 图22-2-3 555定时器单稳态触发器的示波器波形图 [动画4-5] 多谐振荡器 555定时器构成多谐振荡器的电路如图22-2-4所示,其工作波形如图22-2-5所示。 与单稳态触发器比较,它是利用电容器的充放电来代替外加触发信号,所以,电容器上的电压信号应该在两个阈值之间按指数规律转换。充电回路是R A、R B和C,此时相当输入是低电平,输出是高电平;当电容器充电达到2 V CC/3时,即输入达到高电平时,电路的状态发生翻转,输出为低电平,电容器开始放电。当电容器放电达到2V CC/3时,电路的状态又开始翻转。如此不断循环。电容器之所以能够放电,是由于有放电端7脚的作用,因7脚的状态与输出端一致,7脚为低电平电容器即放电。

用555定时器组成多谐振荡器

用555定时器组成多谐振荡器

————————————————————————————————作者:————————————————————————————————日期:

用555定时器组成多谐振荡器 一、电路结构 多谐振荡器是无稳态电路,两个暂稳态不断地交替。图1为用SG555组成的多谐振荡器电路图。利用放电管V作为一个受控电子开关,使电容充电、放电而改变UC 上升或下降。 令UC=TH=TR ,则交替置0,置1。R1,R2和C为定时元件。 图1 用555定时器组成多谐振荡器 二、工作原理

1,接通电源Vcc后,Vcc经电阻R1,R2对电容C充电,其电压UC 由0按指数规律上升,当UC≥2/3Vcc时,电压比较器C1和C2的输出分别为:UC1=0,UC2=1 基本RS触发器被置0,Q=0,Q=1,输出U0跃到低电平UOL 于此同时,放电管V导通,电容C经电阻R2、放电管V 放电电路进入暂稳态。 2,随着电容C的放电,UC随之下降。 当UC下降到UC ≤2/3Vcc ,则电压比较器C1和C2的输出为UC1=1,UC2=0 基本RS触发器被置1,Q=1,Q=0,输出U0由低电平UOL跃到高电平UOH 同时,因Q=0,放电管V截止,电源Vcc又经电阻R1,R2对电容C 充电。 电路又返回到前一个暂稳态。

3,这样,电容C上的电压UC将在2/3 Vcc 和1/3Vcc之间来回放电和充电,从而使电路产生了振荡,输出矩形脉冲。 三、输出波形 图2 多谐振荡器的工作波形 多谐振荡器的振荡周期T为: T=tw1+tw2 tww1为电容C上的电压由1/3 Vcc下降到2/3 Vcc 所需要的时间,充电回路的时间常数为 (R1+R2)C tww1可用下式估算

555多谐震荡器-实验报告

实验题目:用555定时器设计一个时钟信号源,频率为f=1KHz,占空比为60%。 实验报告: 一、实验相关信息 1、实验日期: 2、实验地点: 二、实验内容 用555定时器设计一个时钟信号源,频率为f=1KHz,占空比为60%。 三、实验目的 1、了解555定时器的工作原理和电路结构; 2、掌握555定时器的典型应用。 三、实验设备、元器件 1、实验仪器:(写清型号) 2、实验元器件: 四、理论计算 (1)555多谐震荡器电路结构 图1 多谐振荡器 (2)工作波形

(3)工作过程简述 接通电源后,电容C 被充电,νc 上升,当νc 上升到 Vcc 32 时,触发器被复位,同时 放电T 导通,此时 νo 为低电平,电容C 通过R 2 和T 放电,使νc 下降,当νc 下降到Vcc 31 时,触发器又被复位,νo 为高电平。电容C 放电所需时间为 C R C R t PL 227.02ln ≈= (1) 当电容C 放电结束时,T 截止,Vcc 将通过R 1、R 2向电容C 充电,νc 由Vcc 31上升到Vcc 32所需时间为 C R R C R R t PH )(7.02ln )(2121+≈+= (2) 当νc 上升到Vcc 32 时,触发器由发生翻转,如此周而服始,在输出端就得到一个周期 性的方波,其频率为 C R R t t f PH PL )2(43.1121+≈+= (3) %100)2((%)212 1X R R R R t t t q PH PL PH ++=+= (4) (4)占空比可调电路结构 对于图1电路结构占空比固定不变,要得到占空比可调的周期方波,对其电路改进,如图2所示。 由(4)式可知,占空比始终大于50%,要得到占空比小于50%的方波,只要在输出端加一个反向器即可。

NE555定时器构成多谐振荡器

NE555定时器构成的多谐振荡器 一、原理 1、555定时器内部结构 555定时器是一种模拟电路和数字电路相结合的中规模集成电路,其内部结构如图(A ) 及管脚排列如图(B )所示。 它由分压器、比较器、基本R--S 触发器和放电三极管等部分组成。分压器由三个5K 的等值电阻串联而成。分压器为比较器1A 、2A 提供参考电压,比较器1A 的参考电压为 2 3 cc V ,加在同相输入端,比较器2A 的参考电压为13cc V ,加在反相输入端。比较器由两个 结构相同的集成运放1A 、2A 组成。高电平触发信号加在1A 的反相输入端,与同相输入端的参考电压比较后,其结果作为基本R--S 触发器_ D R 端的输入信号;低电平触发信号加在 2A 的同相输入端,与反相输入端的参考电压比较后,其结果作为基本R —S 触发器_ D S 端 的输入信号。基本R--S 触发器的输出状态受比较器1A 、2A 的输出端控制。 2、 多谐振荡器工作原理 由555定时器组成的多谐振荡器如图(C)所示,其中R 1、R 2和电容C 为外接元件。其 工作波如图(D)所示。

设电容的初始电压c U =0,t =0时接通电源,由于电容电压不能突变,所以高、低触 发端TH V =TL V =0<1 3 VCC,比较器A1输出为高电平,A2输出为低电平,即_ 1D R =, _ 0D S =(1表示高电位,0表示低电位),R S -触发器置1,定时器输出01u =此时 _ 0Q =,定时器内部放电三极管截止,电源cc V 经1R ,2R 向电容C充电,c u 逐渐升高。当 c u 上升到1 3 cc V 时,2A 输出由0翻转为1,这时__ 1D D R S ==,R S -触发顺保持状态不 变。所以0

555定时器构成的多谐振荡器

一、用555定时器构成的多谐振荡器 1.电路组成: 用555定时器构成的多谐振荡器电路如图6-11(a)所示:图中电容C、电阻R1和R2作为振荡器的定时元件,决定着输出矩形波正、负脉冲的宽度。定时器的触发输入端(2脚)和阀值输入端(6脚)与电容相连;集电极开路输出端(7脚)接R1、R2相连处,用以控制电容C 的充、放电;外界控制输入端(5脚)通过0.01uF电容接地。 2.工作原理: 多谐振荡器的工作波形如图6-11(b)所示: 电路接通电源的瞬间,由于电容C来不及充电,Vc=0v,所以555定时器状态为1,输出Vo为高电平。同时,集电极输出端(7脚)对地断开,电源Vcc对电容C充电,电路进入暂稳态I,此后,电路周而复始地产生周期性的输出脉冲。多谐振荡器两个暂稳态的维持时间取决于RC充、放电回路的参数。暂稳态Ⅰ的维持时间,即输出Vo的正向脉冲宽度T1≈0.7(R1+R2)C;暂稳态Ⅱ的维持时间,即输出Vo的负向脉冲宽度T2≈0.7R2C。 因此,振荡周期T=T1+T2=0.7(R1+2R2)C,振荡频率f=1/T。正向脉冲宽度T1与振荡周期T

之比称矩形波的占空比D,由上述条件可得D=(R1+R2)/(R1+2R2),若使R2>>R1,则D≈1/2,即输出信号的正负向脉冲宽度相等的矩形波(方波)。 二、多谐振荡器应用举例: 1.模拟声响发生器: 将两个多谐振荡器连接起来,前一个振荡器的输出接到后一个振荡器的复位端,后一个振荡器的输出接到扬声器上。这样,只有当前一个振荡器输出高电平时,才驱动后一个振荡器振荡,扬声器发声;而前一个振荡器输出低电平时,导致后面振荡器复位并停止震荡,此时扬声器无音频输出。因此从扬声器中听到间歇式的"呜......呜"声响。 2.电压——频率转换器: 由555定时器构成的多谐振荡器中,若定时器控制输入端(5脚)不经电容接地,而是外加一个可变的电压源,则通过调节该电压源的值,可以改变定时器触发电位和阀值电位的大小。外加电压越大,振荡器输出脉冲周期越大,即频率越低;外加电压越小,振荡器输出脉冲周期越小,即频率越高。这样,多谐振荡器就实现了将输入电压大小转换成输出频率高低的电压—频率转换器的功能。

电工电子仿真实践——用555多谐振荡器分析脉冲电路

$$$$大学 电工电子仿真实践课程设计 2014年7月4日

电工电子仿真实践课程设计任务书 课程 电工电子仿真实践课程设计 题目 用555多谐振荡器分析脉冲电路 专业 电气工程及其自动化 姓名 学号 主要内容: 根据仿真软件Multisim 的主要功能特点,利用其先进的仿真功能对用555定时器接成的多谐振荡器特性进行仿真研究。 基本要求: 本次课程设计要求设计一个用555定时器接成的多谐振荡器,该多谐振荡器的功能要求如下: 1、采用全部分立元件电路设计一种用555定时器接成的多谐振荡器; 2、RC 积分电路中的电容F C C n 91021==; 3、RC 积分电路中的负载电阻Ω=k R 511,Ω=k R 472; 4、高电平V VDD 5=。 主要参考资料: [1] 刘伟,李思强.Multisim8电工电子仿真实践[M].哈尔滨:黑龙江科学技术出版社,2007. [2] 李庆常.数字电子技术基础[M].北京:机械工业出版社,2008. [3] 阎石.数字电子技术基础[M].北京:高等教育出版社,2006. [4] 陈滟涛,杨俊起,谢东磊等.Multisim7在《电工学》教学中的应用[J].中国现代教育装备,2008,02:86-87. [5] 张肃文.高频电子线路[M ].北京:高等教育出版社,2007. 完成期限 2014.6.30——2014.7.4 指导教师 陶国彬 刘超 专业负责人 2014年 7 月4日

目录 1 设计 (1) 2 方案选择与电路原理图的设计 (1) 2.1 振荡器的选择 (2) 2.2 基本电路的选择 (2) 2.3 电路方案的确定 (2) 3 元件选取与电路图的绘制 (3) 3.1 元件选取 (3) 3.2 电路图的绘制 (3) 4 虚拟仪器设置与仿真分析计算 (4) 4.1虚拟仪器设置 (4) 4.2虚拟仪表输出波形 (5) 4.3仿真分析计算 (5) 5 仿真分析方法实验与结果分析 (6) 6 修改电路参数的仿真计算 (6) 7 总结 (7) 参考文献 (9)

555定时器构成的多谐振荡器

多谐振荡器是一种能产生矩形波的自激振荡器,也称矩形波发生器。“多谐”指矩形波中除了基波成分外,还含有丰富的高次谐波成分。多谐振荡器没有稳态,只有两个暂稳态。在工作时,电路的状态在这两个暂稳态之间自动地交替变换,由此产生矩形波脉冲信号,常用作脉冲信号源及时序电路中的时钟信号。 一、用555定时器构成的多谐振荡器 1.电路组成: 用555定时器构成的多谐振荡器电路如图6-11(a)所示:图中电容C、电阻R1和R2作为振荡器的定时元件,决定着输出矩形波正、负脉冲的宽度。定时器的触发输入端(2脚)和阀值输入端(6脚)与电容相连;集电极开路输出端(7脚)接R1、R2相连处,用以控制电容C的充、放电;外界控制输入端(5脚)通过0.01uF电容接地。 2.工作原理: 多谐振荡器的工作波形如图6-11(b)所示: 电路接通电源的瞬间,由于电容C来不及充电,Vc=0v,所以555定时器状态为1,输出Vo为高电平。同时,集电极输出端(7脚)对地断开,电源Vcc对电容C充电,电路进入暂稳态I,此

后,电路周而复始地产生周期性的输出脉冲。多谐振荡器两个暂稳态的维持时间取决于RC充、放电回路的参数。暂稳态Ⅰ的维持时间,即输出Vo的正向脉冲宽度T1≈0.7(R1+R2)C;暂稳态Ⅱ的维持时间,即输出Vo的负向脉冲宽度T2≈0.7R2C。 因此,振荡周期T=T1+T2=0.7(R1+2R2)C,振荡频率f=1/T。正向脉冲宽度T1与振荡周期T之比称矩形波的占空比D,由上述条件可得D=(R1+R2)/(R1+2R2),若使R2>>R1,则D≈1/2,即输出信号的正负向脉冲宽度相等的矩形波(方波)。 二、多谐振荡器应用举例: 1.模拟声响发生器: 将两个多谐振荡器连接起来,前一个振荡器的输出接到后一个振荡器的复位端,后一个振荡器的输出接到扬声器上。这样,只有当前一个振荡器输出高电平时,才驱动后一个振荡器振荡,扬声器发声;而前一个振荡器输出低电平时,导致后面振荡器复位并停止震荡,此时扬声器无音频输出。因此从扬声器中听到间歇式的"呜......呜"声响。 2.电压——频率转换器: 由555定时器构成的多谐振荡器中,若定时器控制输入端(5脚)不经电容接地,而是外加一个可变的电压源,则通过调节该电压源的值,可以改变定时器触发电位和阀值电位的大小。外加电压越大,振荡器输出脉冲周期越大,即频率越低;外加电压越小,振荡器输出脉冲周期越小,即频率越高。这样,多谐振荡器就实现了将输入电压大小转换成输出频率高低的电压—频率转换器的功能。

555定时器的电路结构与功能

一、555定时器的电路结构与功能 555定时器是一种多用途的数字-模拟混合集成电路,利用它能极方便地构成施密特触发器、单稳态触发器和多谐振荡器。由于使用灵活、方便,所以555定时器在波形的产生与变换、测量与控制、家用电器、电子玩具等许多领域中都得到了应用。 图6.25是国产双极性定时器CB555的电路结构图。它由比较器C1 和C2 、基本RS触发器和集电极开路的放电三极管三部分组成。 图6.25 CB555的电路结构图 :比较器C1 的输入端(也称阈值端,用TH标注) :比较器C2 的输入端(也称触发端,用标注) C 和C 的参考电压(电压比较的基准)和由V 经三个5kΩ电阻分压给出。(在控制电压输入端悬空时,,。如 果外接固定电压,则 ) 是置零输入端,则输出端,不受其他输入状态的影响。正常 工作时必须使。图中的数码1~8为器件引脚的编号。通过分析可以得到如下所示的CB555的功能表。 CB555的功能表

低 低 不变 高 高 为了提高电路的带负载能力,还在输出端设置了缓冲器G4 。如果将端经过 电阻接到电源上,那么只要这个电阻的阻值足够大,为高电平时也一定 为高电平,为低电平时也一定为低电平。555定时器能在很宽的电源电压范围内工作,并可承受较大的负载电流。双极性555定时器的电源电压范围为5~16 V,最大的负载电流达200mA。CMOS型7555定时器的电源电压范围为3~18 V,但最大负载电流在4mA以下。 可以设想,如果使和的低电平信号发生在输入电压信号的不同电平,那么输出与输入之间的关系将为施密特触发特性;如果在加上一个低电平触发信号以后,经过一定的时间能在端自动产生一个低电平信号,就可以得到单 稳态触发器;如果能使和的低电平信号交替地反复出现,就可以得到多谐振荡器。 二、用555定时器接成的施密特触发器 将555定时器的阈值输入端和触发输入端连在一起,便构成了施密特触发器,如下图。 图6.26 用555定时器接成的施密特触发器图6.27 图6.26的电压传输特性 当输入如图6.27所示的三角波信号时,则从施密特触发器的端可得到方波输出。

基于555多谐振荡器数字时钟设计

目录 摘要 (1) 第一章电路设计 (1) 1.1电路设计方案 (1) 1.1.1 原理图 (1) 1.1.2 工作原理 (6) 第二章数字时钟功能要求及元器件介绍 (7) 2.1 基本功能 (7) 2.2 元器件介绍和清单 (9) 2.2.1 555多谐振荡器 (9) 2.2.2 74LS160芯片 (9) 2.2.3 74LS248芯片 (10) 2.2.4 数码管 (11) 2.2.5 74LS00芯片 (12) 2.2.6 CD4081芯片 (13) 第三章proteus仿真 (13) 3.1 proteus整体仿真 (13) 第四章PCB板的制作 (14) 4.1PCB板的制作 (14) 4.2电路板制作的基本步棸 (14) 4.2.1 protel软件来画好原理图 (14) 4.2.2 封装并画好PCB图,布好线 (14) 4.2.3 将PCB图打印出来,并压制好电路板 (15) 4.2.4 制作电路板,腐蚀好电路导航的铜,钻孔 (16) 4.2.5 安装元器件,焊接 (16) 4.2.6 手工焊接的步骤 (16) 4.3 注意事项 (18) 第五章电路板的调试 (18) 5.1 555多谐振荡器的调试 (18) 5.2 74LS160计数器的调试 (18) 5.3 数字时钟的整体调试 (19) 第六章总结 (19) 6.1 电路的特点及改进意见 (19) 6.2 心得体会 (19) 附录A 电路原理图 (20)

附录B 元件清单 (21) 附录C 装配图 (22) 任务书 设计题目:基于555多谐振荡器数字时钟设计 设计要求: 1. 设计内容:设计一个数字时钟,可以手动设定时间和清零。 2、性能要求: 1)通电后能自动从零开始计时。 2)时间可调。 3)24进制计时 3、每人撰写一份设计报告,根据个人分工情况有所侧重,页面数目不少于15页。 每位成员应参与设计与制作的每个过程,要了解整机设计的相关知识、掌握安装与调试等相关技能。在提交电路板和设计报告时,能够回答老师所提的问题。小组分工: 根据个人擅长及相关专业技能,我们三个分工如下: 方案设计: 绘图: 安装与调试: 摘要: 本系统是基于555多谐振荡器的数字时钟。通过555多谐振荡器产生1Hz的脉冲给74LS160计数器,使74LS160计数。再把通过74LS248数据锁存器锁存,再由译码器把数据显示出来。

用555定时器构成的施密特触发器_百度文库

Φ 550×1233 mm : 解释:当输入信号Vi 减小至低于负向阀值时,输出电压Vo翻转为高电平VoH;而输入信号Vi增大至高于正向阀值时,输出电压Vo才翻转为低电平VoL液压盘式刹车-称为回差电压。 一、用 大钩提升速度范围定时器构成的施密特触发器 1.电路组成0.18-1.67 m/s 将555定时器的阀值输入端Vi1( 辅助刹车脚)、触发输入端Vi2(2脚)相连作为输入端Vi,由电磁涡流刹车 3脚)或’(转盘型号 脚)挂接上拉电阻RlVDD 所示的施密特触发器电路。 转盘开口直径

2.工作原理:如图所示,输入信号 520 mm,对应的输出信号为Vo,假设未接控制输入Vm 。 转盘档数 ①当Vi=0V时,即Vi1<2/3Vcc、Vi2<1/3Vcc,倒 Vo=1。以后Vi逐渐上升,(2/3Vcc,输出维持59-154,反93 ②当Vi 2/3Vcc)时,则Vi1>2/3Vcc、Vi2>1/3Vcc,此时定时器状态翻转为0,输出Vo=0,此后Vi继续上升,然后下降,只要不低于触发电位(1/3Vcc),输出维持0不变。型 (Π) ③当Vi继续下降,一旦低于触发电位(井架有效高度 )后,、 42.5 m,定时器状态翻转为1,输出 二层台高度 总结:26.5 m 时,正负向阀值电压=2/3Vcc、 =1/3Vcc4000 m V=1/3Vcc 顶部开档(正面×侧面) 1.8×1.75 m △V=1/2Vm。由此,通过调节外加电压Vm可改变施密特触发器的回差电压特性,从而改变输出脉冲的宽度。 7×2.4 m 1.波形变换: 施密特触发器可用以将模拟信号波形转换成矩形波,如图 箱式 4.5 m 可通过回差电压加以调节。

555多谐振荡器

555多谐振荡器 555定时器是一种模拟电路和数字电路相结合的中规模集成器件,它性能优良,适用范围很广,外部加接少量的阻容元件可以很方便地组成单稳态触发器和多谐振荡器,以及不需外接元件就可组成施密特触发器。因此集成555定时被广泛应用于脉冲波形的产生与变换、测量与控制等方面。 本实验根据555定时器的功能强以及其适用范围广的特点,设计实验研究它的内部特性和简单应用。 一、原理 1、555定时器内部结构 555定时器是一种模拟电路和数字电路相结合的中规模集成电路,其内部结构如图(A ) 及管脚排列如图(B )所示。 它由分压器、比较器、基本R--S 触发器和放电三极管等部分组成。分压器由三个5K 的等值电阻串联而成。分压器为比较器1A 、2A 提供参考电压,比较器1 A 的参考电压为2 3 cc V ,加在同相输入端,比较器2A 的参考电压为13cc V ,加在反相 输入端。比较器由两个结构相同的集成运放1A 、2A 组成。高电平触发信号加在1A 的反相输入端,与同相输入端的参考电压比较后,其结果作为基本R--S 触发器 _ D R 端的输入信号;低电平触发信号加在2A 的同相输入端,与反相输入端的参考

电压比较后,其结果作为基本R —S 触发器_ D S 端的输入信号。基本R--S 触发器的输出状态受比较器1A 、2A 的输出端控制。 2、 多谐振荡器工作原理 由555定时器组成的多谐振荡器如图(C)所示,其中R 1、R 2和电容C 为外接元件。其工作波如图(D)所示。 设电容的初始电压c U =0,t =0时接通电源,由于电容电压不能突变,所 以高、低触发端TH V =TL V =0<1 3 VCC,比较器A1输出为高电平,A2输出为低 电平,即_1D R =,_ 0D S =(1表示高电位,0表示低电位),R S -触发器置1,定时器输出01u =此时_ 0Q =,定时器内部放电三极管截止,电源cc V 经1R ,2R 向 电容C充电,c u 逐渐升高。当c u 上升到1 3 cc V 时,2A 输出由0翻转为1,这时 __ 1D D R S ==,R S -触发顺保持状态不变。所以0

555组成的多谐振荡器

1.多谐振荡器的工作原理 多谐振荡器是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故称为多谐振荡器。多谐振荡器没有稳态,只有两个暂稳态,在自身因素的作用下,电路就在两个暂稳态之间来回转换,故又称它为无稳态电路。 由555定时器构成的多谐振荡器如图1所示,R1,R2和C是外接定时元件,电路中将高电平触发端(6脚)和低电平触发端(2脚)并接后接到R2和C的连接处,将放电端(7脚)接到R1,R2的连接处。 由于接通电源瞬间,电容C来不及充电,电容器两端电压uc为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出uo为高电平,放电管VT截止。这时,电源经R1,R2对电容C充电,使电压uc按指数规律上升,当uc上升到(2/3)Vcc时,输出uo为低电平,放电管VT导通,把uc从(1/3)Vcc 上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间TPH的长短与电容的充电时间有关。充电时间常数T充=(R1+R2)C。 由于放电管VT导通,电容C通过电阻R2和放电管放电,电路进人第二暂稳态.其维持时间TPL的长短与电容的放电时间有关,放电时间常数T放=R2C0随着C的放电,uc下降,当uc下降到(1/3)Vcc时,输出uo。为高电平,放电管VT截止,Vcc再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,uc电压总是在(1/3~2/3)Vcc 之间变化。图1(b)所示为工作波形。 图1 555定时器构成的多谐振荡器电路及工作波形

2.叮咚门铃 如图2所示是一种能发出“叮、咚”声门铃的电路原理图。它的音质优美逼真,装调简单容易、成本较低,图中的IC便是集成555定时器,它构成多谐振荡器。按下按钮SB(装在门上),振荡器振荡,扬声器发出“口丁”的声音。与此同时,电源通过二极管VD1给c1充电。放开按钮时,c1便通过电阻R1放电,维持振荡。但由于SB的断开,电阻R2被串入电路,使振荡频率有所改变,振荡频率变小,扬声器发出“咚”的声音。直到C1上的电压放到不能维持555振荡为止,即4脚变为低电平,3脚输出为零。“咚”声余音的长短可通过改变C1的数值来改变。 3.旋光彩灯控制电路 旋光彩灯控制电路如图3所示,电路中的IC1 555组成多谐振荡器,它可以产生可调的 图2 叮咚门铃的电路原理图 时钟脉冲信号,改变可调电位器RP可改变时钟脉冲信号的频率。IC2是由CD4017组成的计数器,CD40l7是十进制计数器,可作为十分频使用,并具有译码输出功能。CD4017的引脚图如图4所示,其功能表见表1。 图3 旋光彩灯控制电路

555定时器的结构和工作原理

13.1 555定时器的结构和工作原理本节重点: (1)脉冲的基本知识 (2)555电路的组成结构和工作原理 (3)555芯片引脚图 (4)555电路功能表 (5)555电路的典型应用 本节难点: (1)555的内部电路组成和工作原理 (2)555电路的典型应用 引入:555定时器电路是一种中规模集成定时器,目前应用十分广泛。通常只需外接几个阻容元件,就可以构成各种不同用途的脉冲电路,如多谐振荡器、单稳态触发器以及施密特触发器等。555定时电路有TTL集成定时电路和CMOS集成定时电路,它们的逻辑功能与外引线排列都完全相同。双极型产品型号最后数码为555,CMOS型产品型号最后数码为7555。 一、555电路的结构组成和工作原理 (1)电路组成及其引脚

(2)555的工作原理 它含有两个电压比较器,一个基本RS 触发器,一个放电开关T ,比较器 的参考电压由三只5K Ω的电阻器构成分压,它们分别使高电平比较器C1同相比 较端和低电平比较器C2的反相输入端的参考电平为Vcc 32和Vcc 3 1 。C1和C2的 输出端控制RS 触发器状态和放电管开关状态。当输入信号输入并超过Vcc 3 2 时, 触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信 号自2脚输入并低于Vcc 3 1 时,触发器置位,555的3脚输出高电平,同时放电, 开关管截止。 D R 是复位端,当其为0时,555输出低电平。平时该端开路或接Vcc 。 Vco 是控制电压端(5脚),平时输出Vcc 3 2 作为比较器A1的参考电平,当5 脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01F μ的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。 T 为放电管,当T 导通时,将给接于脚7的电容器提供低阻放电电路. (3)555电路的引脚功能 二、555电路的应用 (1)用555电路构成施密特触发器

555 振荡器 工作原理

555多谐振荡器工作原理FROM维库 集成555定时器多谐振荡器 1.多谐振荡器 的工作原理 多谐振荡器 是能产生矩形波的一种自激振荡器电路,由于矩形波中除基波外还含有丰富的高次谐波,故称为多谐振荡器。多谐振荡器没有稳态,只有两个暂稳态,在自身因素的作用下,电路就在两个暂稳态之间来回转换,故又称它为无稳态电路。 由555定时器 构成的多谐振荡器如图1所示,R1,R2和C是外接定时元件,电路中将高电平触发端(6脚)和低电平触发端(2脚)并接后接到R2和C的连接处,将放电端(7脚)接到R1,R2的连接处。 由于接通电源 瞬间,电容C来不及充电,电容器 两端电压uc为低电平,小于(1/3)Vcc,故高电平触发端与低电平触发端均为低电平,输出uo为高电平,放电管 VT截止。这时,电源经R1,R2对电容C充电,使电压uc按指数规律上升,当uc上升到(2/3)Vcc 时,输出uo为低电平,放电管VT导通,把uc从(1/3)Vcc 上升到(2/3)Vcc这段时间内电路的状态称为第一暂稳态,其维持时间TPH的长短与电容的充电时间有关。充电时间常数T充=(R1+R2)C。 由于放电管VT导通,电容C通过电阻 R2和放电管放电,电路进人第二暂稳态.其维持时间TPL的长短与电容的放电时间有关,放电时间常数T 放=R2C0随着C的放电,uc下降,当uc下降到(1/3)Vcc时,输出uo。为高电平,放电管VT截止,Vcc再次对电容c充电,电路又翻转到第一暂稳态。不难理解,接通电源后,电路就在两个暂稳态之间来回翻转,则输出可得矩形波。电路一旦起振后,uc电压总是在(1/3~2/3)Vcc 之间变化。图1(b)所示为工作波形。

555仿真实验报告-多谐振荡器

仿真实验课程名称:数字电子技术实验仿真 仿真实验项目名称:基于555定时器的多谐振荡器的设计 仿真类型(填■):(基础□、综合□、设计■) 院系:物理与机电工程学院专业班级:13电子(2)班 姓名:学号: 指导老师:刘堃完成时间:成绩: 一、实验目的 1、熟悉555集成时基电路的电路结构、工作原理及其特点;掌握555集成时基电路的基本应用。 2、掌握Multisim10软件在数字电子技术实验中的应用。

二、实验设备 Multisim10软件。 三、实验原理 (1)555定时器 集成芯片555是一种能够产生时间延迟和多种脉冲信号的控制电路,是数字、模拟混合型的中规模集成电路。芯片引脚排列如图1所示,内部电路如图2所示。电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器,广泛应用于信号的产生、变换、控制与检测。它的内部电压标准使用了三个5 k Ω的电阻,故取名555电路。电路类型有双极型和CMOS 型两大类,两者的工作原理和结构相似。几乎所有的双极型产品型号最后的三位数码都是555或556;所有的CMOS 产品型号最后四位数码都是7555或7556,两者的逻辑功能和引脚排列完全相同,易于互换。555和7555是单定时器,556和7556是双定时器。双极型的555电路电源电压为+5 V ~ +15 V ,输出的最大电流可达200 mA ;CMOS 型的电源电压是+3 V~+18 V 。 555内部电路有两个电压比较器、基本RS 触发器和放电开关管T 。比较器的参考电压由三只5 k Ω的电阻分压提供,比较器A 1同相端参考电平为CC V 3 2、比较器A 2的反相端参考电平为CC V 31。A 1和A 2的输出端控制RS 触发器状态和放电管开关状态。当输入信号超出CC V 3 2时,比较器A 1翻转,触发器复位,555的输出端○ 3脚输出低电平,开关管导通,电路充电。当输入信号低于CC V 3 1时,比较器A 2翻转,触发器置位,开关管截止,电路放电,555的○3脚输出高电平。 D R 是复位端,当其为0时,555输出低电平。应用时通常开路或接V CC 。 ○5脚是控制电压端,平时输出CC V 3 2作为比较器A 1的参考电平,当○5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个μF 的电容器至地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。 T 为放电管,当T 导通时,经过脚○ 7至电容器,提供低阻放电电路。 (2)555定时器构成多谐振荡器 如图3,由555定时器和外接元件R 1、R 2、C 构成多谐振荡器,脚○ 2与脚○6直接相连。 图1 555芯片引脚排列图 图2 555定时器内部电路

用定时器构成的施密特触发器

施密特触发器也有两个稳定状态,但与一般触发器不同的是,施密特触发器采用电位触发方式,其状态由输入信号电位维持;对于负向递减和正向递增两种不同变化方向的输入信号,施密特触发器有不同的阀值电压。见图6-2: 解释:当输入信号Vi减小至低于负向阀值时,输出电压Vo翻转为高电平VoH;而输入信号Vi增大至高于正向阀值时,输出电压Vo才翻转为低电平VoL。这种滞后的电压传输特性称回差特性,其值- 称为回差电压。 一、用555定时器构成的施密特触发器 1.电路组成: 将555定时器的阀值输入端Vi1(6脚)、触发输入端Vi2(2脚)相连作为输入端Vi,由Vo(3脚)或Vo’(7脚)挂接上拉电阻Rl及电源VDD作为输出端,便构成了如图6-3所示的施密特触发器电路。 2.工作原理:如图所示,输入信号Vi,对应的输出信号为Vo,假设未接控制输入Vm 。 ①当Vi=0V时,即Vi1<2/3Vcc、Vi2<1/3Vcc,此时Vo=1。以后Vi逐渐上升,只要不高于阀值电压(2/3Vcc),输出Vo维持1不变。 ②当Vi上升至高于阀值电压(2/3Vcc)时,则Vi1>2/3Vcc、Vi2>1/3Vcc,此时定时器状态翻转为0,输出Vo=0,此后Vi继续上升,然后下降,只要不低于触发电位(1/3Vcc),输出维持0不变。 ③当Vi继续下降,一旦低于触发电位(1/3Vcc)后,Vi1<2/3Vcc、Vi2<1/3Vcc,定时器状态翻转为1,输出Vo=1。

总结:未考虑外接控制输入Vm时,正负向阀值电压=2/3Vcc、=1/3Vcc,回差电压△V=1/3Vcc。若考虑Vm,则正负向阀值电压=Vm、=1/2Vm,回差电压△V=1/2Vm。由此,通过调节外加电压Vm 可改变施密特触发器的回差电压特性,从而改变输出脉冲的宽度。 二、施密特触发器的应用举例 1.波形变换: 施密特触发器可用以将模拟信号波形转换成矩形波,如图6-4所示将正弦波信号同相转换成矩形波的例子,输出脉冲宽度tpo可通过回差电压加以调节。 2.波形整形 若数字信号在传输过程中受到干扰变成如图6-5(a)所示的不规则波形, 可利用施密特触发器的回差特性将它整形成规则的矩形波。若负向阀值取为,则回差电压。整形后输出波形如图6-5(b)所示。由于输入信号的干扰在输出中表现为三个矩形脉冲,这是错误的。若减小负向阀值取为,则回差电压。此时整形后输出波形如图6-5(c)所示,消去了干扰。 3.幅度鉴别: 施密特触发器的翻转取决于输入信号是否高于或低于,利用此特性可以构成幅度鉴别器,用以从一串脉冲中检出符合幅度要求的脉冲。如图6-6所示,当输入脉冲大于时,施密特触发器翻转,输出端

555定时器构成的多谐振荡器

创作编号: GB8878185555334563BT9125XW 创作者:凤呜大王* 多谐振荡器是一种能产生矩形波的自激振荡器,也称矩形波发生器。“多谐”指矩形波中除了基波成分外,还含有丰富的高次谐波成分。多谐振荡器没有稳态,只有两个暂稳态。在工作时,电路的状态在这两个暂稳态之间自动地交替变换,由此产生矩形波脉冲信号,常用作脉冲信号源及时序电路中的时钟信号。 一、用555定时器构成的多谐振荡器 1.电路组成: 用555定时器构成的多谐振荡器电路如图6-11(a)所示:图中电容C、电阻R1和R2作为振荡器的定时元件,决定着输出矩形波正、负脉冲的宽度。定时器的触发输入端(2脚)和阀值输入端(6脚)与电容相连;集电极开路输出端(7脚)接R1、R2相连处,用以控制电容C的充、放电;外界控制输入端(5脚)通过0.01uF电容接地。 2.工作原理:

多谐振荡器的工作波形如图6-11(b)所示: 电路接通电源的瞬间,由于电容C来不及充电,Vc=0v,所以555定时器状态为1,输出Vo为高电平。同时,集电极输出端(7脚)对地断开,电源Vcc对电容C充电,电路进入暂稳态I,此后,电路周而复始地产生周期性的输出脉冲。多谐振荡器两个暂稳态的维持时间取决于RC充、放电回路的参数。暂稳态Ⅰ的维持时间,即输出Vo的正向脉冲宽度T1≈0.7(R1+R2)C;暂稳态Ⅱ的维持时间,即输出Vo的负向脉冲宽度T2≈0.7R2C。 因此,振荡周期T=T1+T2=0.7(R1+2R2)C,振荡频率f=1/T。正向脉冲宽度T1与振荡周期T之比称矩形波的占空比D,由上述条件可得D=(R1+R2)/(R1+2R2),若使R2>>R1,则D≈1/2,即输出信号的正负向脉冲宽度相等的矩形波(方波)。 二、多谐振荡器应用举例: 1.模拟声响发生器: 将两个多谐振荡器连接起来,前一个振荡器的输出接到后一个振荡器的复位端,后一个振荡器的输出接到扬声器上。这样,只有当前一个振荡器输出高电平时,才驱动后一个振荡器振荡,扬声器发声;而前一个振荡器输出低电平时,导致后面振荡器复位并停止震荡,此时扬声器无音频输出。因此从扬声器中听到间歇式的"呜......呜"声响。 2.电压——频率转换器: 由555定时器构成的多谐振荡器中,若定时器控制输入端(5脚)不经电容接地,而是外加一个可变的电压源,则通过调节该电压源的值,可以改变定时器触发电位和阀值电位的大小。外加电压越大,振荡器输出脉冲周期越大,即频率越低;外加电压越小,振荡器输出脉冲周期越小,即频率越高。这样,多谐振荡器就实现了将输入电压大小转换成输出频率高低的电压—频率转换器的功能。

应用555定时器组成施密特触发器

课程设计任务书 学生班级:学生姓名:学号 设计名称:应用555定时器组成施密特触发器 起止日期:指导教师:

摘要 施密特触发器是一种用途十分广泛的脉冲单元电路。利用它所具有的电位触发特性,可以进行脉冲整形,把边沿不够规则的脉冲整形为边沿陡峭的矩形脉冲(图4);通过它可以进行波形变换,把正弦波变换成矩形波;另一个重要用途就是进行信号幅度鉴别,只要信号幅度达到某一设定值,触发器就翻转,所以常称它为鉴幅器。用施密特触发器还能组成多谐振荡器和单稳态触发器。施密特触发器也有两个稳定状态,但与一般触发器不同的是,施密特触发器采用电位触发方式,其状态由输入信号电位维持;对于负向递减和正向递增两种不同变化方向的输入信号,施密特触发器有不同的阀值电压。为此,同学们通过书籍查阅了解到有多种方法可以组成施密特触发器,然后通过比较各种方案后,用555定时器组成施密特触发器,并通过去实验室实验和老师的指导了解到⑴施密特触发器有两个稳定状态,其维持和转换完全取决于输入电压的大小。⑵电压传输特性特殊,有两个不同的阈值电压(正向阈值电压和负向阈值电压。⑶状态翻转时有正反馈过程,从而输出边沿陡峭的矩形脉冲 关键词:施密特触发器,555定时器,阈值电压。

目录 一:绪论 (4) 二:555定时器组成施密特触发器 2.1设计任务、要求及目的 (5) 2.2 555定时器 (5) 2.3 设计施密特触发器的方案 (7) 2.4 主要参数 (8) 2.5 制作原理图 (8) 2.6制作PCB版 (9) 2.6.1 制作步骤 2.6.2 制作过程中遇到的问题、原因及解决办法 三:结论 (10) 四:参考文献 (11) 五:附录 (11)

555仿真实验报告多谐振荡器

仿真实验报告册 仿真实验课程名称:数字电子技术实验仿真仿真实验项目名称:基于555定时器的多谐振荡器的设计仿真类型(填■):(基础□、综合□、设计■) 院系:物理与机电工程学院专业班级:13电子(2)班 姓名:学号: 指导老师:刘堃完成时间:2014.03.25 成绩:

一、实验目的 1、熟悉555集成时基电路的电路结构、工作原理及其特点;掌握555集成时基电路的基本应用。 2、掌握Multisim10软件在数字电子技术实验中的应用。 二、实验设备 Multisim10软件。 三、实验原理 (1)555定时器 集成芯片555是一种能够产生时间延迟和多种脉冲信号的控制电路,是数字、模拟混合型的中规模集成电路。芯片引脚排列如图1所示,内部电路如图2所示。电路使用灵活、方便,只需外接少量的阻容元件就可以构成单稳、多谐和施密特触发器,广泛应用于信号的产生、变换、控制与检测。它的内部电压标准使用了三个5 k Ω的电阻,故取名555电路。电路类型有双极型和CMOS 型两大类,两者的工作原理和结构相似。几乎所有的双极型产品型号最后的三位数码都是555或556;所有的CMOS 产品型号最后四位数码都是7555或7556,两者的逻辑功能和引脚排列完全相同,易于互换。555和7555是单定时器,556和7556是双定时器。双极型的555电路电源电压为+5 V ~ +15 V ,输出的最大电流可达200 mA ;CMOS 型的电源电压是+3 V~+18 V 。 555内部电路有两个电压比较器、基本RS 触发器和放电开关管T 。比较器的参考电压由三只5 k Ω 的电阻分压提供,比较器A 1同相端参考电平为CC V 3 2、比较器A 2的反相端参考 电平为CC V 3 1。A 1和A 2的输出端控制RS 触发器状态和放电管开关状态。当输入信号超出 CC V 3 2时,比较器A 1翻转,触发器复位,555的输出端○3脚输出低电平,开关管导通,电路充电。当输入信号低于CC V 3 1时,比较器A 2翻转,触发器置位,开关管截止,电路放电,555 的○ 3脚输出高电平。 D R 是复位端,当其为0时,555输出低电平。应用时通常开路或接V CC 。 ○5脚是控制电压端,平时输出CC V 3 2作为比较器A 1的参考电平,当○5脚外接一个输入 图1 555芯片引脚排列图 图2 555定时器内部电路

相关主题
文本预览
相关文档 最新文档