当前位置:文档之家› 比较器的选型

比较器的选型

比较器的选型
比较器的选型

比较器的合理选择

May 22, 2006

摘要:本文说明比较器的特性、指标以及比较器与运算放大器差异。其中包括内置基准的比较

器应用电路和利用双比较器构建窗检测器、利用四比较器解决电压或电流测量问题的电路。

长期以来,受运算放大器的影响,比较器的应用一直没有得到应有的重视。直到目前随着比较

器性能指标的改进,使其更好地胜任电压比较这一基本任务,这一状况才得到改善,本文主要

介绍新型比较器的性能及其典型应用。

比较器的功能

比较器的两路输入为模拟信号,输出则为二进制信号,当输入电压的差值增大或减小时,其输

出保持恒定。从这一角度来看,也可以将比较器当作一个1位模/数转换器(ADC)。

比较器与运算放大器

运算放大器在不加负反馈时,从原理上讲可以用作比较器,但由于运算放大器的开环增益非常高,它只能处理输入差分电压非常小的信号。而且,在这种情况下,运算放大器的响应时间比

比较器慢许多,而且也缺少一些特殊功能,如:滞回、内部基准等。

比较器通常不能用作运算放大器,比较器经过调节可以提供极小的时间延迟,但其频响特性受

到一定限制,运算放大器正是利用了频响修正这一优势而成为灵活多用的器件。另外,许多比

较器还带有内部滞回电路,这避免了输出振荡,但同时也使其不能当作运算放大器使用。

电源电压

比较器与运算放大器工作在同样的电源电压,传统的比较器需要±15V等双电源供电或高达

36V的单电源供电,这些产品在工业控制中仍有需求,许多厂商也仍在提供该类产品。

但是,从市场发展趋势看,目前大多数应用需要比较器工作在电池电压所允许的单电源电压范

围内,而且,比较器必须具有低电流、小封装,有些应用中还要求比较器具有关断功能。例如:MAX919、MAX9119和MAX9019比较器可工作在1.6V或1.8V至5.5V电压范围内,全温范

围内的最大吸入电流仅为1.2μA/1.5μA,采用SOT23、SC70封装,类似的MAX965和

MAX9100比较器工作电压可低至1.6V,甚至1.0V,因而非常适合电池供电的便携式产品,见

表1。

表1. MAX9015-MAX9020选型指南

微型封装比较器

纳安级功耗比较器采用节省空间的晶片级封装(UCSP ),电源电流低至1μA ,例如:MAX9025-MAX 9098系列产品,是超低功耗系统的理想选择。采用5引脚SC70封装的MAX9117-MAX 9120单比较器系列产品,其电源电流低至600nA ,提供两种输出供用户选择:推挽式或漏极开路,请参考表2。这些比较器非常适合2节电池的监测/管理应用。

表2. 微小封装的比较器 Push-pull Open drain 1.0

Push-pull Open drain 0.6

比较器的性能指标

比较器两个输入端之间的电压在过零时输出状态将发生改变,由于输入端常常叠加有很小的波动电压,这些波动所产生的差模电压会导致比较器输出发生连续变化。为避免输出振荡,新型比较器通常具有几mV 的滞回电压。滞回电压的存在使比较器的切换点变为两个:一个用于检测上升电压,一个用于检测下降电压(图1)。高电压门限(V TRIP+)与低电压门限(V TRIP-)之差等于滞回电压(V HYST ),滞回比较器的失调电压(V OS )是V TRIP+和V TRIP-的平均值。

图1. 开关门限、滞回和失调电压

不带滞回的比较器的输入电压切换点是输入失调电压,而不是理想比较器的零电压。失调电压(即切换电压)一般随温度、电源电压的变化而变化。通常用电源抑制比(PSRR)衡量这一影响,它表示标称电压的变化对失调电压的影响。

理想的比较器的输入阻抗为无穷大,因此,理论上对输入信号不产生影响,而实际比较器的输入阻抗不可能做到无穷大,输入端有电流经过信号源内阻并流入比较器内部,从而产生额外的)定义为两个比较器输入电流的中值,用于衡量输入阻抗的影响。例如,压差。偏置电流(I

BIAS

MAX917、MAX9117系列比较器在整个工作温度范围内的最大偏置电流仅为2nA,室温下(TA = +25°C)偏置电流低于1nA,见表3。

表3. 低I

随着低电压应用的普及,为进一步优化比较器的工作电压范围,Maxim公司利用npn管与pnp 管相并联的结构作为比较器的输入级,从而使比较器的输入电压得以扩展,可以比电源电压高出250mV,因而达到了所谓的超电源摆幅标准。这种比较器的输入端允许有较大的共模电压。

比较器输出

由于比较器仅有两个不同的输出状态,零电平或电源电压,具有满电源摆幅特性的比较器输出级为射极跟随器,这使得其输出信号与电源摆幅之间仅有极小的压差。该压差取决于比较器内部晶体管饱和状态下的集电极与发射极之间的电压。CMOS满摆幅比较器的输出电压取决于饱和状态下的MOSFET,与双极型晶体管结构相比,在轻载情况下电压更接近于电源电压。

输出延迟时间是选择比较器的关键参数,延迟时间包括信号通过元器件产生的传输延时和信号的上升时间与下降时间,对于高速比较器,如MAX961、MAX9010-MAX9013,其延迟时间的典型值分别达到4.5ns和5ns,上升时间为2.3ns和3ns (注意:传输延时的测量包含了上升时间)。设计时需注意不同因素对延迟时间的影响(图2),其中包括温度、容性负载、输入过驱动

等因素。对于反相输入,传输延时用t

PD-表示;对于同相输入,传输延时用t

PD+

表示。T

PD+

t

PD-

之差称为偏差。电源电压对传输延时也有较大影响。

图2. 外部因素对传输延时的影响

有些应用需要权衡比较器的速度与功耗,Maxim公司针对这一问题提供了多种芯片类型供选择,其中包括从耗电800nA、延迟时间为30μs的MAX919到耗电6μA、延迟时间为540ns的

MAX9075;耗电600μA、延迟时间为20ns的MAX998到耗电11mA、延迟时间为4.5ns的MAX961;从耗电350μA、传输延时25ns的MAX9107到耗电900μA、传输延时5ns的

MAX9010最近推出的MAX9010 (SC70封装),其延迟时间低至5ns电源电流只有900μA,为

产品设计提供了更多的选择。

如需超高速、ECL或PECL输出、延迟500ps的比较器,请参考

MAX9600/MAX9601/MAX9602。

实际比较器

比较器通常用于比较一路输入电压和一路固定的电压基准,为满足这种应用需求,Maxim将基

准源与比较器集成在同一芯片内,这样不仅节省空间而且比外部基准耗电少,如,MAX9117

在全温范围内的最大消耗电流只有1.3μA (包括内部其准源)。考虑环境温度的变化和基准源的

类型,集成基准源的精度一般在1%至4%。对于精度要求较高的应用,可以考虑选用

MAX9040系列产品,其内置基准源的初始精度可以达到0.4%、最大温度漂移为30ppm/°C。

双比较器MAX9017/MAX9018 、MAX923、MAX933和漏极开路输出的MAX973、MAX983非常适合窗比较器应用,内部基准可以连接到这些比较器的同相输入端或反相输入端,利用三个外部电阻即可设置过压、欠压门限(图1所示)。另外,这些芯片还含有滞回输入引脚,该引脚外接两个分压电阻设置滞回电压门限。为便于使用,有些比较器(例如:MAX912/MAX913)还提供互补输出,即对应于输入的变化,两路变化方向相反的输出。

快速延时(5mV过驱动时典型延迟时间1ms)使得MAX9201/MAX9203非常适合高速ADC和高速采样电路,如:接收机、V/F转换器及其它数据识别系统。

其它高速、低功耗比较器,例如:MAX9107/MAX9108/MAX9109,是工业标准比较器

MAX907/MAX908/MAX909等的低成本升级产品。双比较器,MAX9107,提供8引脚SOT23封装;单比较器,MAX9109,采用节省空间的6引脚SC70封装;四比较器,MAX9108,采用14引脚TSSOP封装,见表4和图3。

表4. 超高速比较器

图3. SC70封装、具有最佳速度/功耗比的比较器选择

应用

这一部分介绍了三种比较器的典型应用。

第1个例子是电平转换器,可完成3V逻辑至5V逻辑的变换。如图4所示,漏极开路输出比较器,如MAX986,提供了一个极为简捷的实现方案,同样,如果比较器供电电压允许(如

MAX972),也可实现±5V双极性逻辑至3V单极性逻辑的电平转换。具体应用时应注意输入信号不要超出电源电压的摆幅,流入输出端的电流由大阻值的上拉电阻限制(参考MAX986数据资料的Absolute Maximum Ratings)。

图4. 3V至5V电平转换器

图5电路解决了另一常见问题,该电路可将双极性输入(这里为正弦波)转换为单极性的方波输出,外加偏置电压为:

图5. 单极性比较器处理双极性信号

两个阻值相同的电阻(R4)将比较器切换检测门限设置在电源电压的一半。图6所示是利用四个比较器构成一个电流检测电路,可用于指示输入电流的四个范围,旁路电阻用于将输入电流转换为电压信号,R1和R2用于设置运算放大器的增益,并为比较器提供所需要的基准电压。R4至R7用来设置不同数字输出状态所对应的检测门限。

图6. 测量四个电流范围的方案

类似文章发表于ECN,2001年7月1日。

相关型号

免费样品MAX9010SC70封装、5ns、低功耗、单电源、高精度TTL比较

MAX9075低成本、超小型、3μA、单电源比较器免费样品MAX917SOT23封装、1.8V、纳安级功耗、超摆幅比较器,带

免费样品或不带电压基准

免费样品MAX919SOT23封装、1.8V、纳安级功耗、超摆幅比较器,带

或不带电压基准

MAX923超低功耗、单/双电源比较器免费样品MAX933超低功耗、低成本比较器、内置2%电压基准免费样品MAX961单/双/四路、超高速、+3V/+5V、超摆幅比较器免费样品MAX973超低功耗、漏极开路输出、单/双电源比较器免费样品MAX983超低功耗、漏极开路输出、单/双电源比较器免费样品MAX986微功耗、低电压、UCSP/SC70封装、满摆幅输入/输出

免费样品比较器

免费样品MAX998单/双/四路、SOT23封装、单电源供电、高速、低功耗

比较器

电压比较器原理介绍

一、电压比较器原理 电压比较器是集成运放非线性应用电路,常用于各种电子设备中,那么什么是电压比较器呢? 它将一个模拟量电压信号和一个参考固定电压相比较,在二者幅度相等的附近,输出电压将产生跃变,相应输出高电平或低电平。比较器可以组成非正弦波形变换电路及应用于模拟与数字信号转换等领域。 图1所示为一最简单的电压比较器,UR为参考电压,加在运放的同相的输入端,输入电压ui加在反相的输入端。 图1电压比较器原理图(a)及传输特性(b) (a)电路图 (b)传输特性当ui<U R时,运放输出高电平,稳压管Dz反向稳压工作。输出端电位被其箝位在稳压管的稳定电压U Z,即 u O=U Z 当ui>U R时,运放输出低电平,DZ正向导通,输出电压等于稳压管的正向压降U D,即 uo=-U D 因此,以U R为界,当输入电压ui变化时,输出端反映出两种状态,高电位和低电位。 表示输出电压与输入电压之间关系的特性曲线,称为传输特性。图1(b)为(a)图比较器的传输特性。 常用的电压比较器有过零电压比较器、具有滞回特性的过零比较器、滞回电压比较器,窗口(双限)电压比较器。 二、集成电压比较器简介 作用:可将模拟信号转换成二值信号,即只有高电平和低电平两种状态的离散信号。应用:作为模拟电路和数字电路的接口电路。 特点:比集成运放的开环增益低,失调电压大,共模抑制比小;但其响应速度快,传输延迟时间短,而且不需外加限幅电路就可直接驱动TTL、CMOS和ECL等集成数字电路;有些芯片带负载能力很强,还可直接驱动继电器和指示灯(例如LM311)。 三、电压比较器的应用 电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+”端) 及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压V A,反相端输入V B。V A和V B的变化如图1(b)所示。

数值比较器的应用

数值比较器电路的仿真分析及应用 程勇 陈素 陈淑平 (机电信息工程系 实训中心 450008) 摘要:数值比较器是数字电路中经常用到的典型电路,传统的教学模式中,对数值比较器的学习及应用设计,离不开在实验室中的电路调试,学习方式较为枯燥抽象,又耗时费力,学习效果也不尽理想。现代电子设计中,由于仿真软件的出现,变抽象的知识为直观的展示,既可以通过仿真学习数值比较器的工作原理,又可以通过仿真进行数值比较器的应用设计,学习及应用效果事半功倍。 关键词:数值比较器、仿真分析、应用 在各种数字系统尤其是在数字电子计算机中,经常需要对两个二进制数进行大小判别,然后根据判别结果转向执行某种操作。用来完成两个二进制数的大小比较的逻辑电路称为数值比较器,简称比较器。在数字电路中,数值比较器的输入是要进行比较的两个二进制数,输出是比较的结果。 一.电路设计分析 首先讨论1位数值比较器。1位数值比较器是多位比较器的基础。当A 和B 都是1位二进制数时,它们的取值和比较结果可由1位数值比较器的真值表表示,如表1所示。 表1 1位数值比较器的真值表 由真值表可得如下逻辑表达式 A B A B A B F AB F AB F AB AB A B ><====+=⊕ 由逻辑表达式可以画出如图1所示的逻辑图。

图1 1位数值比较器逻辑图 二.比较器电路的仿真分析 (一)元件选取及电路组成 打开仿真软件Multisim 10,根据图1所示的1位数值比较器逻辑图,可以在仿真软件Multisim 10中构建仿真电路,如 图3所示。 1.元件选取 (1)指示灯的选取 1位数值比较器逻辑运算完后,输出结果处 接一指示灯作为指示,灯亮表示运算结果成立, 灯灭表示运算结果不成立。单击元件栏的Place Indicator→PROBE,选取PROBE_RED指示灯。 为了观察清晰明白,将指示灯PROBE连击打开其图2 指示灯的Label设置 设置对话框,在其Label中的标号由默认的X1改为“A等于B”、“A大于B”、“A 小于B”等。如图2所示。 (2)其他元器件可参照以下说明取用。 电源VCC:Place Source→POWER_SOURCES→VCC 接地:Place Source→POWER_SOURCES→GROUND,选取电路中的接地。 或非门U1A的选取:Place TTL→74LS→74LS02D 与门U3A、U5A的选取:Place TTL→74LS→74LS08D 非门U2 A、U4A的选取:Place TTL→74LS→74LS04N 2.电路组成 参照图3放置元件并进行连接,构成1位数值比较器的仿真测试电路。 (二)仿真分析

比较器原理

比较器原理,比较器的工作原理 电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 什么是电压比较器以其原理 简单地说,电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+”端)及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压VA,反相端输入VB。VA和VB的变化如图1(b)所示。在时间0~t1时,VA>VB;在t1~t2时,VB>VA;在t2~t3时,VA>VB。在这种情况下,Vout的输出如图1(c)所示:VA>VB时,Vout输出高电平(饱和输出);VB>VA时,Vout输出低电平。根据输出电平的高低便可知道哪个电压大。 比较器原理:对两个或多个数据项进行比较,以确定它们是否相等,或确定它们之间的大小关系及排列顺序称为比较。能够实现这种比较功能的电路或装置称为比较器。比较器是将一个模拟电压信号与一个基准电压相比较的电路。比较器的两路输入为模拟信号,输出则为二进制信号,当输入电压的差值增大或减小时,其输出保持恒定。 比较器两大类别 1.模拟比较器 将模拟量与一标准值进行比较,当高于该值时,输出高(或低)电平.反之,则输出低(或高)电平.例如,将一温度信号接于运放的同相端,反相端接一电压基准(代表某一温度),当温度高于基准值时,运放输出高电平,控制加热器关闭,反之当温度信号低于基准值时,运放输出低电平,将加热器接通.这一运放就是一个简单的比较器,因为输入与输出同相,称为同相比较器..有的模拟比较器具有迟滞回线,称为迟滞比较器,用这种比较器,有助于消除寄生在信号上的干扰. 2.数字比较器 用来比较二组二进制数是否相同,相同时输出(或低)高电平,反之,则输出相反的电平. 最简单的数字比较器是一位二进制数比较器,是一个异或门(或同或门). 比较器的工作原理 比较器是由运算放大器发展而来的,比较器电路可以看作是运算放大器的一种应用电路。由于比较器电路应用较为广泛,所以开发出了专门的比较器集成电路。 图4(a)由运算放大器组成的差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与VA、VB及4个电阻的关系式为:Vout= (1+RF/R1)·R3/(R2+R3)VA-(RF/R1)VB。若R1=R2,R3=RF,则Vout=RF/R1(VA-VB),RF/R1为放大器的增益。当R1=R2=0(相当于R1、R2短路),R3=RF=∞(相当于R3、R F开路)时,Vout=∞。增益成为无穷大,其电路图就形成图4(b)的样子,差分放大器处于开环状态,它就是比较器电路。实际上,运放处于开环状态时,其增益并非无穷大,而Vout输出是饱和电压,它小于正负电源电压,也不可能是无穷大。

LM339电压比较器原理应用

四电压比较器LM339的8个典型应用例子 LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是:1)失调电压小,典型值为2mV;2)电源电压范围宽,单电源为2-36V,双电源电压为±1V-±18V;3)对比较信号源的内阻限制较宽;4)共模范围很大,为0~(Ucc-1.5V)Vo;5)差动输入电压范围较大,大到可以等于电源电压;6)输出端电位可灵活方便地选用。 LM339集成块采用C-14型封装,图1为外型及管脚排列图。由于LM339使用灵活,应用广泛,所以世界上各大IC生产厂、公司竟相推出自己的四比较器,如IR2339、ANI339、SF339等,它们的参数基本一致,可互换使用。 LM339类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM339输入共模范围的任何一点),另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3-15K)。选不同阻值的上拉电阻会影响输出端高电位的值。因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。另外,各比较器的输出端允许连接在一起使用。 单限比较器电路 图2a给出了一个基本单限比较器。输入信号Uin,即待比较电压,它加到同相输入端,在反相输入端接一个参考电压(门限电平)Ur。当输入电压Uin>Ur时,输出为高电平UOH。图2b为其传输特性。

比较器放大器设计

1、 正向过零比较器如图1 上为电路,下为输入输出特性。根据电路要能画出来特性, 根据特性要能画出来电路。 2、正向任意比较器见图2。要求同上。 图1 图2 比较器的基本特点: 工作在开环或正反馈状态。 开关特性:因开环增益很大,比较器的输出只有高电平和低电平两个稳定状态。 非线性:因大幅度工作,输出和输入不成线性关系。 3、反向滞回比较器 其中om V -=-V om 通理om V + 由于这里基准电压VREF 是任意的,所以比较器传输特性的一般状态为 4、同向滞回比较器 滞回比较器电路图 特性 1REF 2T om 1212 'RV R V V R R R R - =+++1REF 2T om 1212RV R V V R R R R +=++ +

还有就是已经提过的窗口比较器 设计比较器就是根据特性设计电路。你需要根据题意画出特性;判断是属于上述哪种;画出 电路;根据V T 、om V +、om V - 等公式,先设计其中一个电阻为1k Ω,然后计算出其他电阻即可。 怎样设计放大器,比如,要求放大倍数为11,信号中频率成分最高为100KHZ ,最大不失真输出幅度为1V ,应该怎么做?如果放大倍数为负值又应怎样? 放大倍数为11,显然要用同相比例放大器。放大倍数 A vf = V o /V i =1+(R f /R 1) 先设计其中一个电阻为1k Ω,然后计算出其他电阻即可。 信号中频率成分最高为100KHZ , 说明是低频放大器。运放选择μA741或OP07即可。 最大不失真输出幅度为1V 运放电源选用±10v 即可。 如果放大倍数为负值又应怎样? 选反相比例放大器即可,余同上。

电压比较器工作原理及应用实例

电压比较器工作原理及应用实例 时间:2011-11-24来源:作者:方佩敏 来源:https://www.doczj.com/doc/82817969.html, 本文主要介绍电压比较器基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。 什么是电压比较器 简单地说,电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+”端)及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压VA,反相端输入VB。VA和VB的变化如图1(b)所示。在时间0~t1时,VA>VB;在t1~t2时,VB>VA;在t2~t3时,VA>VB。在这种情况下,Vout 的输出如图1(c)所示:VA>VB时,Vout输出高电平(饱和输出);VB>VA时,Vout 输出低电平。根据输出电平的高低便可知道哪个电压大。 如果把VA输入到反相端,VB输入到同相端,VA及VB的电压变化仍然如图1(b)所示,则Vout输出如图1(d)所示。与图1(c)比较,其输出电平倒了一下。输出电平变化与VA、VB的输入端有关。 图2(a)是双电源(正负电源)供电的比较器。如果它的VA、VB输入电压如图

1(b)那样,它的输出特性如图2(b)所示。VB>VA时,Vout输出饱和负电压。 如果输入电压VA与某一个固定不变的电压VB相比较,如图3(a)所示。此VB称为参考电压、基准电压或阈值电压。如果这参考电压是0V(地电平),如图3(b)所示,它一般用作过零检测。 比较器的工作原理 比较器是由运算放大器发展而来的,比较器电路可以看作是运算放大器的一种应用电路。由于比较器电路应用较为广泛,所以开发出了专门的比较器集成电路。 图4(a)由运算放大器组成的差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与VA、VB及4个电阻的关系式为: Vout=(1+RF/R1)·R3/(R2+R3)VA-(RF/R1)VB。若R1=R2,R3=RF,则 Vout=RF/R1(VA-VB),RF/R1为放大器的增益。当R1=R2=0(相当于R1、R2短路),R3=RF=∞(相当于R3、RF开路)时,Vout=∞。增益成为无穷大,其电路图就形成图4(b)的样子,差分放大器处于开环状态,它就是比较器电路。实际上,运放处于开环状态时,其增益并非无穷大,而Vout输出是饱和电压,它小于正负电源电压,也不可能是无穷大。

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

滞回比较器

第一部分 模拟电子课程设计

目录 1 课程设计的目的与作用 (1) 1.1设计目的、主要任务及设计思想 (1) 1.2设计作用 (1) 1.2.1滞回比较器 (1) 1.2.2双限比较器 (1) 2 设计任务及所用multisim软件环境介绍 (1) 2.1设计任务 (1) 2.2 Multisim软件环境介绍: (2) 3 电路模型的建立 (2) 3 .1滞回比较器 (2) 3 .2双限比较器 (2) 4 理论分析及计算 (3) 4 .1滞回比较器理论分析及计算 (3) 4 .2双限比较器 (4) 5 仿真结果分析 (5) 5 .1滞回比较器 (5) 5 .2双限比较器 (5) 6 设计总结和体会 (6) 7 参考文献 (6)

1 课程设计的目的与作用 1.1设计目的、主要任务及设计思想 根据设计要求完成对滞回比较器和双限比较器的设计,进一步加强对模拟电子技术的理解。了解比较器的工作原理,掌握外围电路设计与主要性能参数的测试方法。 1.2设计作用 1.2.1.滞回比较器:又称施密特触发器,其抗干扰能力强,如果输入电压受到干扰或噪声的影响,在门限电平上下波动,而输出电压不会在高、低两个电平间反复的跳动。 1.2.2.双限比较器:在实际工作中,有时需要检测输入模拟信号的电平是否处在两个给定的电平之间,此时要求比较器有两个门限电平,这种比较器称为双限比较器。 2设计任务及所用multisim软件环境介绍 2.1设计任务 初步了解和掌握滞回比较器和双限比较器的设计、调试过程,能进一步巩固课堂上学到的理论知识,了解滞回比较器和双限比较器的工作原理

2.2 Multisim软件环境介绍 Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 3 电路模型的建立 3.1.滞回比较器 图3.1 3.2.双限比较器

位数值比较器设计

令狐采学创作 电子技术课程设计报告 令狐采学 题目:4位数值比较器设计 学生姓名: 学生学号: 年级: 专业: 班级: 指导教师: 机械与电气工程学院制 2016年11月 4位数值比较器设计 机械与电气工程学院:自动化专业 1.课程设计的任务与要求 1.1 课程设计的任务 采用Multisim 12.0软件实现4位数值比较器的设计与仿真。 1.2 课程设计的要求 (1)设计一个4位数值比较器的电路,对两个4位二进制进行比较。 (2)采用74Ls85集成数值比较器。

(3)要有仿真效果及现象或数据分析。 2.四位数值比较器设计方案制定 2.1 四位数值比较器工作的原理 对两个4位二进制数A3A2A1A0与B3B2B1B0进行比较。从A的最高位A3和B的最高位B3进行比较,如果他们不相等,则该位的比较结果可以作为两数的比较结果。若最高位A3=B3,则再比较次高位A2=B2,余此类推。如果两数相等,那么,必须将进行到最低位才能得到结果。可以知道:FA>B=FA3>B3+FA3=B3FA2>B2+FA3=B3FA2=B2FA1>B1 +FA3=B3FA2=B2FA1=B2FA0>B0+FA3=B3FA2=B2FA1=B1 FA0=B0IA>B (2-1) FAB、IAB、IAB=IA

比较器工作原理及应用

电压比较器(以下简称比较器)就是一种常用得集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A /D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用得电压比较器。 什么就是电压比较器 简单地说,电压比较器就是对两个模拟电压比较其大小(也有两个数字电压比较得,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)就是比较器,它有两个输入端:同相输入端(“+”端) 及反相输入端(“—”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这就是个单电源比较器),同相端输入电压VA,反相端输入VB。VA与VB得变化如图1(b)所示。在时间0~t1时,VA〉VB;在t1~t2时,VB〉VA;在t2~t3时,V A〉VB。在这种情况下,Vout得输出如图1(c)所示:VA>VB 时,Vout输出高电平(饱与输出);VB>VA时,Vout输出低电平。根据输出电平得高低便可知道哪个电压大.

如果把VA输入到反相端,VB输入到同相端,VA及VB得电压变化仍然如图1(b)所示,则Vout输出如图1(d)所示.与图1(c)比较,其输出电平倒了一下。输出电平变化与VA、VB得输入端有关。 图2(a)就是双电源(正负电源)供电得比较器.如果它得VA、VB输入电压如图1(b)那样,它得输出特性如图2(b)所示。VB〉VA时,Vout输出饱与负电压。

如果输入电压VA与某一个固定不变得电压VB相比较,如图3(a)所示。此VB称为参考电压、基准电压或阈值电压.如果这参考电压就是0V(地电平),如图3(b)所示,它一般用作过零检测。 比较器得工作原理 比较器就是由运算放大器发展而来得,比较器电路可以瞧作就是运算放大器得一种应用电路。由于比较器电路应用较为广泛,所以开发出了专门得比较器集成电路。 图4(a)由运算放大器组成得差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与V A、VB及4个电阻得关系式为:Vout=(1+RF/R1)·R3/(R2+R3)VA—(RF/R1)VB。若R1=R2,R3=RF,则Vout=RF/R1(VA—VB),RF/R1为放大器得增益.当R1=R2=0(相当于R1、R2短路),

运算放大器组成的比较器

1. 功能及应用:主要用来判断输入信号电位之间的相对大小,它至少有两个输入端及一个输出端,通常用一个输入端接被比较信号U i,另一个则接基准电压V R定门限电压(或称阀值)的U T。输出通常仅且仅有二种可能即高、低二电平的矩形波,应用于模-数转换,波形产生及变换,及越限警等。 2. 运放的工作状态:开环和正反馈应用:运放在线性运用时,由于开环增益一般在105以上,所以其对应的输入的线性范围很小,U i数量级,为了拓宽其线性范围就必须引入负反馈,降低其开环增益。而比较器则希望其输入的线性范围越小越好(即比较灵敏度越高)采用开环或使开环增益更高的正反馈应用。在这儿有必要重复展现运放开环电压传输特性。见图8.2.1,请注意横、纵坐标标度的不同 (1) 从途中可化称 (2) 若U i发出变化,使Uo从负波饱和值突变到正饱和值,只在经过极窄的线性区 时,才遵循在线性工作时才特有的“虚短”,其它时刻“虚短”不复存在。 (3) 若横坐标采用与纵坐标相同的标尺,则线性部分特性与纵轴合拢。 (4) 若用正反馈使Aod↑,则可缩短状态的转换时间。 3. 分类: (1) 单限比较器

(2) 迟滞比较器(Schmitt) (3) 双限比较器(窗口比较器) 二. 单限比较器 1. U i与U R分别接运放两输入端的开环串接比较器,见图8. 2.2 ΔU i>U R Uo=+Uom ΔU i

比较器工作原理及应用

电压比较器(以下简称比较器)就是一种常用得集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F 变换电路、 A /D 变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用得电压比较器。 什么就是电压比较器 简单地说,电压比较器就是对两个模拟电压比较其大小(也有两个数字电压比较得,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)就是比较器,它有两个输入端:同相输入端(“ + ” 端)及反相输入端(“一”端),有一个输出端Vou t (输出电平信号)。另外有电源V+ 及地(这就是个单电源比较器),同相端输入电压VA,反相端输入VB。V A与VB得变化如图1(b )所示。在时间0~ t 1时,V A > V B ;在上1?t 2时,V B > VA ;在上2~t3时,V A> VB。在这种情况下,Vo u t得输出如图1 (c)所示:V A>VB 时,Vou t输出高电平(饱与输出);V B >V A时,V o u t输出低电平。根据输出电平得高低便可知道哪个电压大.

如果把V A 输入到反相端,V E 输入到同相端,VA 及V B 得电压变化仍然如图1(b)所示则Vout 输出如图1(d )所示.与图 1 (c )比较,其输出电平倒了一下。输出电平变化与 VA 、VE 得输入 端有关。 图2⑻就是双电源(正负电源)供电得比较器?如果它得 VA 、VB 输入电压如图1 (b )那样,它得输出特性如图2(b)所示。VB > V A 时,Vou t 输出饱与负电压。 国1 ■KT \ I V 咚庄

数字电路4选1选择器、3位比较器VHDL代码

4选1选择器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY sjxz IS PORT(G,A1,A0:IN STD_LOGIC; D0,D1,D2,D3:IN STD_LOGIC; Y,YB:OUT STD_LOGIC); END sjxz; ARCHITECTURE behav OF sjxz IS SIGNAL comb: STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN comb <= A1 & A0; PROCESS (G, comb, D0,D1,D2,D3) BEGIN IF G='0' THEN CASE comb IS WHEN "00" => Y <= D0; YB <= NOT D0; WHEN "01" => Y <= D1; YB <= NOT D1; WHEN "10" => Y <= D2; YB <= NOT D2; WHEN "11" => Y <= D3; YB <= NOT D3; WHEN OTHERS => Y <='0';YB <='1'; END CASE; ELSE Y<='0';YB<='1'; END IF; END PROCESS; END behav;

3位比较器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY comp3 IS PORT(A: IN STD_LOGIC_VECTOR(2 DOWNTO 0); B: IN STD_LOGIC_VECTOR(2 DOWNTO 0); YA,YB,YC: OUT STD_LOGIC); END comp3; ArCHITECTURE behave OF comp3 IS BEGIN PROCESS (A,B) BEGIN IF (A>B) THEN YA<='1';YB<='0';YC<='0'; ELSIF (A

比较器工作原理

电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 什么是电压比较器 简单地说,电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+” 端) 及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压VA,反相端输入VB。VA和VB的变化如图1(b)所示。在时间0~t1时,VA>VB;在t1~t2时,VB>VA;在t2~t3时,VA>VB。在这种情况下,Vout的输出如图1(c)所示:VA>VB时,Vout 输出高电平(饱和输出);VB>VA时,Vout输出低电平。根据输出电平的高低便可知道哪个电压大。 如果把VA输入到反相端,VB输入到同相端,VA及VB的电压变化仍然如图1(b)所示,则Vout 输出如图1(d)所示。与图1(c)比较,其输出电平倒了一下。输出电平变化与VA、VB的输入端有关。 图2(a)是双电源(正负电源)供电的比较器。如果它的VA、VB输入电压如图1(b)那样,它的输出特性如图2(b)所示。VB>VA时,Vout输出饱和负电压。

如果输入电压VA与某一个固定不变的电压VB相比较,如图3(a)所示。此VB称为参考电压、基准电压或阈值电压。如果这参考电压是0V(地电平),如图3(b)所示,它一般用作过零检测。 比较器的工作原理 比较器是由运算放大器发展而来的,比较器电路可以看作是运算放大器的一种应用电路。由于比较器电路应用较为广泛,所以开发出了专门的比较器集成电路。 图4(a)由运算放大器组成的差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与VA、VB及4个电阻的关系式为:Vout=(1+RF/R1)·R3/(R2+R3)VA-(RF/R1)VB。若R1=R2,R3=RF,则Vout=RF/R1(VA-VB),RF/R1为放大器的增益。当R1=R2=0(相当于R1、R2短路),R3=RF=∞(相当于R3、RF开路)时,Vout=∞。增益成为无穷大,其电路图就形成图4(b)的样子,差分放大器处于开环状态,它就是比较器电路。实际上,运放处于开环状态时,其增益并非无穷大,而Vout输出是饱和电压,它小于正负电源电压,也不可能是无穷大。

ADC选型经典指南

ADC选型手册 一ADC的定义 模数转换器即A/D转换器,或简称ADC,(简称a/d转换器或adc,analog to digital converter)通常是指一个将模拟信号转变为数字信号的电子元件。通常的模数转换器是将一个输入电压信号转换为一个输出的数字信号。由于数字信号本身不具有实际意义,仅仅表示一个相对大小。故任何一个模数转换器都需要一个参考模拟量作为转换的标准,比较常见的参考标准为最大的可转换信号大小。而输出的数字量则表示输入信号相对于参考信号的大小。 二 ADC的基本原理 在A/D转换中,因为输入的模拟信号在时间上是连续的,而输出的数字信号是离散量,所以进行转换时只能按一定的时间间隔对输入的模拟信号进行采样,然后再把采样值转换为输出的数字量。通常A/D转换需要经过采样、保持量化、编码四个步骤。也可将采样、保持合为一步,量化、编码合为一步,共两大步来完成。 (1)采样和保持: 采样,就是对连续变化的模拟信号进行定时测量,抽取其样值。采样结束后,再将此取样信号保持一段时间,使A/D转换器有充分的时间进行A/D转换。采样-保持电路就是完成该任务的。其中,采样脉冲的频率越高,采样越密,采样值就越多,其采样-保持电路的输出信号就越接近于输入信号的波形。因此,对采样频率就有一定的要求,必须满足采样定理即:fs≥2fImax 其中fImax 是输入模拟信号频谱中的最高频率 (2)量化和编码: 所谓量化,就是把采样电压转换为以某个最小单位电压△ 的整数倍的过程。分成的等级称为量化级 ,A 称为量化单位。所谓编码 , 就是用二进制代码来表示量化后的量化电平。 采样后得到的采样值不可能刚好是某个量化基准值 , 总会有一定的误差 , 这个误差称为量化误差。显然 , 量化级越细 , 量化误差就越小 , 但是 , 所用的二进制代码的位数就越多 , 电路也将越复杂。量化方法除了上面所述方法外 , 还有舍尾取整法 , 这里不再

LM339比较器应用电路

lm339应用电路图:LM339集成块内部装有四个独立的电压比较器,该电压比较器的特点是:失调电压小,典型值为2mV;电源电压范围宽,单电源为2-36V,双电源电压为±1V-±18V;对比较信号源的内阻限制较宽;共模范围很大,为0~(Ucc-1.5V)Vo;差动输入电压范围较大,大到可以等于电源电压;输出端电位可灵活方便地选用。 LM339集成块采用C-14型封装,图1为外型及管脚排列图。由于LM339使用灵活,应用广泛,所以世界上各大IC生产厂、公司竟相推出自己的四比较器,如IR2339、ANI339、SF339等,它们的参数基本一致,可互换使用。 LM339类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用“-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也称为门限电平,它可选择LM339输入共模范围的任何一点),另一端加一个待比较的信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“-”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差别大于10mV就能确保输出能从一种状态可靠地转换到另一种状态,因此,把LM339用在弱信号检测等场合是比较理想的。LM339的输出端相当于一只不接集电极电阻的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选3-15K)。选不同阻值的上拉电阻会影响输出端高电位的值。因为当输出晶体三极管截止时,它的集电极电压基本上取决于上拉电阻与负载的值。另外,各比较器的输出端允许连接在一起使用。 单限比较器电路 图3为某仪器中过热检测保护电路。它用单电源供电,1/4LM339的反相输入端加一个固定的参考电压,它的值取决于R1于R2。UR=R2/(R1+R2)*UCC。同相端的电压就等于热敏元件Rt的电压降。当机内温度为设定值以下时,“+”端电压大于“-”端电压,Uo为高电位。当温度上升为设定值以上时,“-”端电压大于“+”端,比较器

比较器的合理选择

下载,

2nA (max) @ TA = T MIN to T MAX MAX9117—MAX9120 1nA (max) @ TA = +25°C 2nA (max) @ TA = T MIN to T MAX MAX917 1nA (max) @ TA = +25°C 2nA (max) @ TA = T MIN to T MAX 随着低电压应用的普及,为进一步优化比较器的工作电压范围,Maxim 公司利用npn 管与pnp 管相并联的结构作为比较器的输入级,从而使比较器的输入电压得以扩展,可以比电源电压高出250mV ,因而达到了所谓的超电源摆幅标准。这种比较器的输入端允许有较大的共模电压。 比较器输出 由于比较器仅有两个不同的输出状态,零电平或电源电压,具有满电源摆幅特性的比较器输出级为射极跟随器,这使得其输出信号与电源摆幅之间仅有极小的压差。该压差取决于比较器内部晶体管饱和状态下的集电极与发射极之间的电压。CMOS 满摆幅比较器的输出电压取决于饱和状态下的MOSFET ,与双极型晶体管结构相比,在轻载情况下电压更接近于电源电压。 输出延迟时间是选择比较器的关键参数,延迟时间包括信号通过元器件产生的传输延时和信号的上升时间与下降时间,对于高速比较器,如MAX961、MAX9010-MAX9013,其延迟时间的典型值分别达到4.5ns 和5ns ,上升时间为2.3ns 和3ns (注意:传输延时的测量包含了上升时间)。设计时需注意不同因素对延迟时间的影响(图2),其中包括温度、容性负载、输入过驱动等因素。对于反相输入,传输延时用t PD-表示;对于同相输入,传输延时用t PD+表示。T PD+与t PD-之差称为偏差。电源电压对传输延时也有较大影响。 图2. 外部因素对传输延时的影响 有些应用需要权衡比较器的速度与功耗,Maxim 公司针对这一问题提供了多种芯片类型供选择,其中包括从耗电800nA 、延迟时间为30μs 的MAX919到耗电

电压比较器原理分析

电压比较器原理分析 第一章绪论 电压比较器是对输入信号进行鉴幅与比较的电路,是组成非正弦波发生电路的基本单元电路,在测量和控制中有着相当广泛的应用。本文主要讲述各种电压比较器及其对应的应用电路,讲述各种电压比较器的特点及其电压传输特性,同时阐述电压比较器的组成特点和分析方法。 电压比较器是集成运放非线性应用电路,他常用于各种电子设备中,那么什么是电压比较器呢?下面我给大家介绍一下,它将一个模拟量电压信号和一个参考固定电压相比较,在二者幅度相等的附近,输出电压将产生跃变,相应输出高电平或低电平。比较器可以组成非正弦波形变换电路及应用于模拟与数字信号转换等领域。 图1 图1所示为一最简单的电压比较器,UR为参考电压,加在运放的同相的输入端,输入电压UI加在反相的输入端。

第二章电压比较器原理图 电压比较器可将模拟信号转换成二值信号,即只有高电平和低电平两种状态的离散信号。因此,可用电压比较器作为模拟电路和数字电路的接口电路。集成电压比较器虽然比集成运放的开环增益低,失调电压大,共模抑制比小,但其响应速度快,传输延迟时间短,而且一般不需要加限幅电路就可以直接驱动TTL、CMOS和ECL等集成数字电路;有些芯片带负载能力强,还可以直接驱动继电器和指示灯。 按一个器件上所含有电压比较器的个数,可分为单、双和四电压比较器;按功能,可分为通用性高速型低功耗型低电压型和高精度型电压比较器;按输出方式,可分为 普通集电极(或漏极)开路输出或互补输出三种情况。集电极(或漏极)开路输出电压必须在输出端接一个电阻至电源,若一个为高电平,则另一个必为低电平。 此外,还有的集成电压比较器带有选通断,用来控制电路是处于工作状态,还是处于禁止状态。所谓工作状态,是指点乱编电压传输特性工作;所谓禁止状态,是指电路不按电压传输特性工作,从输出端看进去相当于开路,即处于高阻状态。 下面是对具体电压比较器的功能电路分析:(A)电路图 1传输特性当UI<UR时,运放输出高电平,稳压管DZ反向稳压工作。输出端电位被其箝位在稳压管的稳定电压UZ,即UO=UZ 当UI>UR时,运放输出低电平,DZ正向导通,输出电压等于稳压管的正向压降UD,即 UO=-UD 因此,以UR为界,当输入电压UI变化时,输出端反映出两种状态,高电位和低电位。 表示输出电压与输入电压之间关系的特性曲线,称为传输特性。图3-1(B)为(A)

比较器工作原理及应用

比较器工作原理及应用文档编制序号:[KKIDT-LLE0828-LLETD298-POI08]

电压比较器(以下简称比较器)是一种常用的集成电路。它可用于报警器电路、自动控制电路、测量技术,也可用于V/F变换电路、A/D变换电路、高速采样电路、电源电压监测电路、振荡器及压控振荡器电路、过零检测电路等。本文主要介绍其基本概念、工作原理及典型工作电路,并介绍一些常用的电压比较器。 什么是电压比较器 简单地说,电压比较器是对两个模拟电压比较其大小(也有两个数字电压比较的,这里不介绍),并判断出其中哪一个电压高,如图1所示。图1(a)是比较器,它有两个输入端:同相输入端(“+”端) 及反相输入端(“-”端),有一个输出端Vout(输出电平信号)。另外有电源V+及地(这是个单电源比较器),同相端输入电压VA,反相端输入VB。VA和VB的变化如图1(b)所示。在时间0~t1时,VA>VB;在t1~t2时,VB>VA;在t2~t3时,VA>VB。在这种情况下,Vout的输出如图1(c)所示:VA>VB 时,Vout输出高电平(饱和输出);VB>VA时,Vout输出低电平。根据输出电平的高低便可知道哪个电压大。 如果把VA输入到反相端,VB输入到同相端,VA及VB的电压变化仍然如图1(b)所示,则Vout输出如图1(d)所示。与图1(c)比较,其输出电平倒了一下。输出电平变化与VA、VB的输入端有关。 图2(a)是双电源(正负电源)供电的比较器。如果它的VA、VB输入电压如图1(b)那样,它的输出特性如图2(b)所示。VB>VA时,Vout输出饱和负电压。

如果输入电压VA与某一个固定不变的电压VB相比较,如图3(a)所示。此VB称为参考电压、基准电压或阈值电压。如果这参考电压是0V(地电平),如图3(b)所示,它一般用作过零检测。 比较器的工作原理 比较器是由运算放大器发展而来的,比较器电路可以看作是运算放大器的一种应用电路。由于比较器电路应用较为广泛,所以开发出了专门的比较器集成电路。 图4(a)由运算放大器组成的差分放大器电路,输入电压VA经分压器R2、R3分压后接在同相端,VB通过输入电阻R1接在反相端,RF为反馈电阻,若不考虑输入失调电压,则其输出电压Vout与VA、VB及4个电阻的关系式为:Vout=(1+RF/R1)·R3/(R2+R3)VA-(RF/R1)VB。若 R1=R2,R3=RF,则Vout=RF/R1(VA-VB),RF/R1为放大器的增益。当R1=R2=0(相当于R1、R2短路),R3=RF=∞(相当于R3、RF开路)时,Vout=∞。增益成为无穷大,其电路图就形成图4(b)的样子,差分放大器处于开环状态,它就是比较器电路。实际上,运放处于开环状态时,其增益并非无穷大,而Vout输出是饱和电压,它小于正负电源电压,也不可能是无穷大。 从图4中可以看出,比较器电路就是一个运算放大器电路处于开环状态的差分放大器电路。 同相放大器电路如图5所示。如果图5中RF=∞,R1=0时,它就变成与图3(b)一样的比较器电路了。图5中的Vin相当于图3(b)中的VA。 比较器与运放的差别 运放可以做比较器电路,但性能较好的比较器比通用运放的开环增益更高,输入失调电压更小,共模输入电压范围更大,压摆率较高(使比较器响应速度更快)。另外,比较器的输出级常用集电极开路结构,如图6所

相关主题
文本预览