当前位置:文档之家› 集成电路专业英语词汇

集成电路专业英语词汇

集成电路专业英语词汇
集成电路专业英语词汇

Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层

Active region 有源区Active component 有源元

Active device 有源器件Activation 激活

Activation energy 激活能Active region 有源(放大)区Admittance 导纳Allowed band 允带

Alloy-junction device合金结器件Aluminum(Aluminium) 铝

Aluminum – oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器Angstrom 埃

Anneal 退火Anisotropic 各向异性的

Anode 阳极Arsenic (AS) 砷

Auger 俄歇Auger process 俄歇过程Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发

Background carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ballasting resistor 整流电阻Ball bond 球形键合

Band 能带Band gap 能带间隙

Barrier 势垒Barrier layer 势垒层

Barrier width 势垒宽度Base 基极

Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Basis vector 基矢

Bias 偏置Bilateral switch 双向开关

Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bloch 布洛赫Blocking band 阻挡能带

Blocking contact 阻挡接触Body - centered 体心立方

Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼

Bond 键、键合Bonding electron 价电子

Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃Boundary condition 边界条件

Bound electron 束缚电子Breadboard 模拟板、实验板Break down 击穿Break over 转折

Brillouin 布里渊Brillouin zone 布里渊区

Built-in 内建的Build-in electric field 内建电场

Bulk 体/体内Bulk absorption 体吸收

Bulk generation 体产生Bulk recombination 体复合

Burn - in 老化Burn out 烧毁

Buried channel 埋沟Buried diffusion region 隐埋扩散区Can 外壳Capacitance 电容

Capture cross section 俘获截面Capture carrier 俘获载流子

Carrier 载流子、载波Carry bit 进位位

Carry-in bit 进位输入Carry-out bit 进位输出

Cascade 级联Case 管壳

Cathode 阴极Center 中心

Ceramic 陶瓷(的)Channel 沟道

Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储

Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP) 化学机械抛光Chip 芯片

Chip yield 芯片成品率Clamped 箝位

Clamping diode 箝位二极管Cleavage plane 解理面

Clock rate 时钟频率Clock generator 时钟发生器

Clock flip-flop 时钟触发器Close-packed structure 密堆积结构Close-loop gain 闭环增益Collector 集电极

Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接

Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR) 共模抑制比

Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路

Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)

互补金属氧化物半导体场效应晶体管

Complementary error function 余误差函数

Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试/制

Compound Semiconductor 化合物半导体Conductance 电导Conduction band (edge) 导带(底) Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 组态Conlomb 库仑

Conpled Configuration Devices 结构组态Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Contamination 治污

Continuity equation 连续性方程Contact hole 接触孔

Contact potential 接触电势Continuity condition 连续性条件Contra doping 反掺杂Controlled 受控的

Converter 转换器Conveyer 传输器

Copper interconnection system 铜互连系统Couping 耦合

Covalent 共阶的Crossover 跨交

Critical 临界的Crossunder 穿交

Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶

Current density 电流密度Curvature 曲率

Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样Curvature 弯曲

Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶

Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)

Dangling bonds 悬挂键Dark current 暗电流

Dead time 空载时间Debye length 德拜长度

De.broglie 德布洛意Decderate 减速

Decibel (dB) 分贝Decode 译码

Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱

Defeat 缺陷

Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度Delay 延迟Density 密度

Density of states 态密度Depletion 耗尽

Depletion approximation 耗尽近似Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOS Depletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则

Die 芯片(复数dice)Diode 二极管

Dielectric 介电的Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffused junction 扩散结Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉

Digital circuit 数字电路Dipole domain 偶极畴

Dipole layer 偶极层Direct-coupling 直接耦合

Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Discharge 放电Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主

Donor exhaustion 施主耗尽Dopant 掺杂剂

Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.

Drift 漂移Drift field 漂移电场

Drift mobility 迁移率Dry etching 干法腐蚀

Dry/wet oxidation 干/湿法氧化Dose 剂量

Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装Dynamics 动态Dynamic characteristics 动态属性

Dynamic impedance 动态阻抗

Early effect 厄利效应Early failure 早期失效

Effective mass 有效质量Einstein relation(ship) 爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器

Electrode 电极Electrominggratim 电迁移

Electron affinity 电子亲和势Electronic -grade 电子能

Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光

Electron gas 电子气Electron-grade water 电子级纯水

Electron trapping center 电子俘获中心Electron Volt (eV) 电子伏Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体Ellipse 椭圆

Ellipsoid 椭球Emitter 发射极

Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带

Emitter crowding effect 发射极集边(拥挤)效应

Endurance test =life test 寿命测试Energy state 能态

Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic (低)共溶的Environmental test 环境测试Epitaxial 外延的

Epitaxial layer 外延层Epitaxial slice 外延片

Expitaxy 外延Equivalent curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子

Erasable Programmable ROM (EPROM)可搽取(编程)存储器

Error function complement 余误差函数

Etch 刻蚀Etchant 刻蚀剂

Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态

Exciton 激子Extrapolation 外推法

Extrinsic 非本征的Extrinsic semiconductor 杂质半导体Face - centered 面心立方Fall time 下降时间

Fan-in 扇入Fan-out 扇出

Fast recovery 快恢复Fast surface states 快界面态Feedback 反馈Fermi level 费米能级

Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势

Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管Field oxide 场氧化层Filled band 满带

Film 薄膜Flash memory 闪烁存储器

Flat band 平带Flat pack 扁平封装

Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Forbidden band 禁带Forward bias 正向偏置Forward blocking /conducting正向阻断/导通

Frequency deviation noise频率漂移噪声

Frequency response 频率响应Function 函数

Gain 增益Gallium-Arsenide(GaAs) 砷化钾

Gamy ray r 射线Gate 门、栅、控制极

Gate oxide 栅氧化层Gauss(ian)高斯

Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge) 锗

Graded 缓变的Graded (gradual) channel 缓变沟道Graded junction 缓变结Grain 晶粒

Gradient 梯度Grown junction 生长结

Guard ring 保护环Gummel-Poom model 葛谋-潘模型Gunn - effect 狄氏效应

Hardened device 辐射加固器件Heat of formation 形成热

Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂Hell - effect 霍尔效应Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体

High field property 高场特性

High-performance MOS.( H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子Hybrid integration 混合集成

Image - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子Impurity 杂质Impurity scattering 杂志散射Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO) 铟锡氧化物Induced channel 感应沟道Infrared 红外的Injection 注入

Input offset voltage 输入失调电压Insulator 绝缘体Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构

Interface 界面Interference 干涉International system of unions国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的

Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器

Ion 离子Ion beam 离子束

Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性

Junction FET(JFET) 结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side-wall 结侧壁

Latch up 闭锁Lateral 横向的

Lattice 晶格Layout 版图

Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变

Leakage current (泄)漏电流Level shifting 电平移动

Life time 寿命linearity 线性度

Linked bond 共价键Liquid Nitrogen 液氮

Liquid-phase epitaxial growth technique 液相外延生长技术

Lithography 光刻Light Emitting Diode(LED) 发光二极管Load line or Variable 负载线Locating and Wiring 布局布线Longitudinal 纵向的Logic swing 逻辑摆幅

Lorentz 洛沦兹Lumped model 集总模型

Majority carrier 多数载流子Mask 掩膜板,光刻板

Mask level 掩模序号Mask set 掩模组

Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器Matching 匹配Maxwell 麦克斯韦

Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF) 平均工作时间

Megeto - resistance 磁阻Mesa 台面

MESFET-Metal Semiconductor金属半导体FET

Metallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数

Minority carrier 少数载流子Misfit 失配

Mismatching 失配Mobile ions 可动离子

Mobility 迁移率Module 模块

Modulate 调制Molecular crystal分子晶体Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST )MOS. 晶体管Multiplication 倍增

Modulator 调制Multi-chip IC 多芯片IC

Multi-chip module(MCM) 多芯片模块Multiplication coefficient倍增因子Naked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resistance 负阻Nesting 套刻

Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析Occupied band 满带Officienay 功率

Offset 偏移、失调On standby 待命状态

Ohmic contact 欧姆接触Open circuit 开路

Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器

Optical photon =photon 光子Optical quenching光猝灭

Optical transition 光跃迁Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体Orientation 晶向、定向Outline 外形Out-of-contact mask非接触式掩模Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载

Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化Package 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Passination 钝化

Passive component 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期

Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移

Phonon spectra 声子谱

Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池

Photoelectric effect 光电效应

Photoenic devices 光子器件Photolithographic process 光刻工艺

(photo) resist (光敏)抗腐蚀剂Pin 管脚

Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管

Plasma 等离子体Plezoelectric effect 压电效应

Poisson equation 泊松方程Point contact 点接触

Polarity 极性Polycrystal 多晶

Polymer semiconductor聚合物半导体Poly-silicon 多晶硅

Potential (电)势Potential barrier 势垒

Potential well 势阱Power dissipation 功耗

Power transistor 功率晶体管Preamplifier 前置放大器

Primary flat 主平面Principal axes 主轴

Print-circuit board(PCB) 印制电路板Probability 几率

Probe 探针Process 工艺

Propagation delay 传输延时Pseudopotential method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse

Widen Modulator(PWM) 脉冲宽度调制

Punchthrough 穿通Push-pull stage 推挽级

Quality factor 品质因子Quantization 量子化

Quantum 量子Quantum efficiency量子效应

Quantum mechanics 量子力学Quasi – Fermi-level准费米能级Quartz 石英

Radiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合Radioactive 放射性Reach through 穿通

Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间

Rectifier 整流器(管)Rectifying contact 整流接触Reference 基准点基准参考点Refractive index 折射率

Register 寄存器Registration 对准

Regulate 控制调整Relaxation lifetime 驰豫时间Reliability 可靠性Resonance 谐振

Resistance 电阻Resistor 电阻器

Resistivity 电阻率Regulator 稳压管(器)Relaxation 驰豫Resonant frequency共射频率

Response time 响应时间Reverse 反向的Reverse bias 反向偏置

Sampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range电流饱和区Saturation region 饱和区Saturation 饱和的

Scaled down 按比例缩小Scattering 散射Schockley diode 肖克莱二极管Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格Secondary flat 次平面

Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的

Self diffusion 自扩散Semiconductor 半导体Semiconductor-controlled rectifier 可控硅Sendsitivity 灵敏度Serial 串行/串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shield 屏蔽Short circuit 短路

Shot noise 散粒噪声Shunt 分流

Sidewall capacitance 边墙电容Signal 信号

Silica glass 石英玻璃Silicon 硅

Silicon carbide 碳化硅Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅Silicon On Insulator 绝缘硅Siliver whiskers 银须Simple cubic 简立方

Single crystal 单晶Sink 沉

Skin effect 趋肤效应Snap time 急变时间Sneak path 潜行通路Sulethreshold 亚阈的

Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带

Source 源极Source follower 源随器

Space charge 空间电荷Specific heat(PT) 热

Speed-power product 速度功耗乘积Spherical 球面的

Spin 自旋Split 分裂Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射Stacking fault 层错

Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间Stress 应力Straggle 偏差

Sublimation 升华Substrate 衬底Substitutional 替位式的Superlattice 超晶格

Supply 电源Surface 表面

Surge capacity 浪涌能力Subscript 下标Switching time 开关时间Switch 开关

Tailing 扩展Terminal 终端

Tensor 张量Tensorial 张量的

Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT) 薄膜晶体Threshlod 阈值Thyistor 晶闸管Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的Transistor aging(stress) 晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物

Transition probability 跃迁几率Transition region 过渡区Transport 输运Transverse 横向的

Trap 陷阱Trapping 俘获

Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发

Trim 调配调整Triple diffusion 三重扩散

Truth table 真值表Tolerahce 容差

Tunnel(ing) 隧道(穿)Tunnel current 隧道电流Turn over 转折Turn - off time 关断时间Ultraviolet 紫外的Unijunction 单结的

Unipolar 单极的Unit cell 原(元)胞

Unity-gain frequency 单位增益频率Unilateral-switch单向开关Vacancy 空位Vacuum 真空

Valence(value) band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相Varactor 变容管Varistor 变阻器Vibration 振动Voltage 电压

Wafer 晶片Wave equation 波动方程

Wave guide 波导Wave number 波数

Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数Worst-case device 最坏情况器件

Yield 成品率

Zener breakdown 齐纳击穿

Zone melting 区熔法

常用电力中英文词汇

下列缩略语用于构造级联数据名,例如,“ChNum”由两个缩略语“Ch”和“Num”级联构成,“Ch”表示“通道”,“Num”意思为“编号”。因而,这两个缩略语级联构成的数据名“ChNum”表示“通道编号”含义。 A 电流Current Acs 访问Access ACSI 抽象通信服务接口Abstract Communication Service Interface Acu 噪声Acoustic Age 时效、老化、冷却Ageing Alm 告警Alarm Amp 相别无关电流Current non phase related An 模拟Analogue Ang 角度Angle Auth 授权、权限Authorisation Auto 自动的Automatic Aux 辅助设备Auxiliary Av 平均Average B 套管Bushing Bat 电池Battery Beh 特性、行为Behaviour Bin 二进制Binary Blk 闭锁,被闭锁Block, Blocked Bnd 频带Band Bo 底部Bottom Cap 能力Capability Capac 电容Capacitance Car 载波Carrier CB 断路器Circuit Breaker CDC 公用数据类Common Data Class CE 冷却设备Cooling Equipment Cf 峰值系数Crest factor Cfg 配置、结构Configuration CG 铁芯接地Core Ground Ch 通道Channel Cha 充电器Charger Chg 变化Change Chk 校验、检验Check Chr 特点Characteristic Cir 环流Circulating Clc 计算Caculate Clk 时钟、顺时针方向Clock、clockwise Cls 关闭、合闸Close Cnt 计数Counter Col 线圈Coil Cor 校正Correction

[电气工程]常用专业英语词汇

电气工程常用专业英语词汇表 电气工程常用专业英语词汇表 电路基础 ideal voltage (current) source 理想电压(流)源volt-ampere characteristic 伏安特性potential difference 电位差 reference potential 参考电位resistance 电阻capacitance 电容 inductance 电感 reactance 电抗 inductive(capacitive) reactance 感(容)抗impedance 阻抗 equivalent circuit 等效电路 Ohm’s law 欧姆定律Kirchhoff’s law 基尔霍夫定律Kirchhoff’s voltage law(KVL)基尔霍夫电压定律Kirchhoff’s current law(KCL)基尔霍夫电流定律Thevenin’s theorem 戴维宁定理Norton’s theorem 诺顿定理 branch 支路 node 结点 loop 回路 mesh 网孔 open circuit 开路(断路) short circuit 短路 branch current analysis 支路电流法mesh current analysis 网孔电流法 ode voltage analysis 结点电位法n superposition theorem 叠加原理passive(active) two-terminal network 无(有)源二端网络root mean square (RMS) 均方根值 effective value 有效值instantaneous value 瞬时值 ampere 安培 volt 伏特 Hertz 赫兹 reactive power` 无功功率 active power 有功功率 transfer function 传递函数 apparent power 视在功率 power-factor compensation 功率因数补偿series (parallel) resonance 串(并)联谐振 amplitude(phase)-frequency response characteristic 幅(相)频特性 figure of merit 品质因素 pass-band 通频带bandwidth (BW) 带宽 first(second)-order filter 一(二)阶滤波器low(high)-pass filter 低(高)通滤波器band-pass(stop) filter 带通(阻)滤波器transfer function 转移函数 Bode diagram 波特图 Fourier series 傅立叶级数 three-phase circuit 三相电路 cutoff frequency 截止频率 FFT (Fast Fourier Transform) 快速傅立叶变换 state variable 状态变量 电机 generation 发电 transmission 输电 distribution 配电 coil 线圈 core 铁心 winding 绕组 electrical machine 电机 generator 发电机 motor 电动机 stator (rotor) 定子(转子) armature 电枢 brush 电刷 commutator 换向器 salient-pole 凸极 slip ring 滑环 induction motor 感应电动机 magnetic flux 磁通 asynchronous machine 异步电机 synchronous generator 同步发电机 eddy current 涡流 EMF(electromotive force)电动势 counter EMF 反电势 torque 转矩 excitation 励磁 prime mover 原动机 rectifier 整流器 leakage flux 漏磁通 demagnetization 退磁,去磁 short-circuit ratio 短路比 converter (inverter) 换流器(逆变器) synchronous condenser 同步调相机 magnetization curve 磁化曲线 separately exciting 他励 compounded excited 复励 self-exciting 自励 series(shunt)-wound 串(并)励

半导体行业专业词汇

半导体行业专业词汇 . acceptance testing (WAT: wafer acceptance testing) 2. acceptor: 受主,如B,掺入Si中需要接受电子 3. ACCESS:一个EDA(Engineering Data Analysis)系统 4. Acid:酸 5. Active device:有源器件,如MOS FET(非线性,可以对信号放大) 6. Align mark(key):对位标记 7. Alloy:合金 8. Aluminum:铝 9. Ammonia:氨水 10. Ammonium fluoride:NH4F 11. Ammonium hydroxide:NH4OH 12. Amorphous silicon:α-Si,非晶硅(不是多晶硅) 13. Analog:模拟的 14. Angstrom:A(1E-10m)埃 15. Anisotropic:各向异性(如POLY ETCH) 16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率) 17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻) 18. Antimony(Sb)锑 19. Argon(Ar)氩 20. Arsenic(As)砷 21. Arsenic trioxide(As2O3)三氧化二砷 22. Arsine(AsH3) 23. Asher:去胶机 24. Aspect ration:形貌比(ETCH中的深度、宽度比) 25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层) 26. Back end:后段(CONTACT以后、PCM测试前) 27. Baseline:标准流程 28. Benchmark:基准 29. Bipolar:双极 30. Boat:扩散用(石英)舟 31. CD:(Critical Dimension)临界(关键)尺寸。在工艺上通常指条宽,例如POLY CD 为多晶条宽。 32. Character window:特征窗口。用文字或数字描述的包含工艺所有特性的一个方形区域。 33. Chemical-mechanical polish(CMP):化学机械抛光法。一种去掉圆片表面某种物质的方法。 34. Chemical vapor deposition(CVD):化学汽相淀积。一种通过化学反应生成一层薄膜的工艺。 35. Chip:碎片或芯片。 36. CIM:computer-integrated manufacturing的缩写。用计算机控制和监控制造工艺的一种综合方式。 37. Circuit design :电路设计。一种将各种元器件连接起来实现一定功能的技术。

电力专业常用英语词汇()

网易电力专业英语词汇(较全) 1)元件设备 三绕组变压器:three-column transformer ThrClnTrans 双绕组变压器:double-column transformer DblClmnTrans 电容器:Capacitor 并联电容器:shunt capacitor 电抗器:Reactor 母线:Busbar 输电线:TransmissionLine 发电厂:power plant 断路器:Breaker 刀闸(隔离开关):Isolator 分接头:tap 电动机:motor 2)状态参数 有功:active power 无功:reactive power 电流:current 容量:capacity 电压:voltage 档位:tap position 有功损耗:reactive loss 无功损耗:active loss 空载损耗:no-load loss 铁损:iron loss 铜损:copper loss 空载电流:no-load current 阻抗:impedance 正序阻抗:positive sequence impedance 负序阻抗:negative sequence impedance 零序阻抗:zero sequence impedance 无功负载:reactive load 或者QLoad 有功负载: active load PLoad 遥测:YC(telemetering) 遥信:YX 励磁电流(转子电流):magnetizing current 定子:stator 功角:power-angle

上限:upper limit 下限:lower limit 并列的:apposable 高压: high voltage 低压:low voltage 中压:middle voltage 电力系统 power system 发电机 generator 励磁 excitation 励磁器 excitor 电压 voltage 电流 current 母线 bus 变压器 transformer 升压变压器 step-up transformer 高压侧 high side 输电系统 power transmission system 输电线 transmission line 固定串联电容补偿fixed series capacitor compensation 稳定 stability 电压稳定 voltage stability 功角稳定 angle stability 暂态稳定 transient stability 电厂 power plant 能量输送 power transfer 交流 AC 装机容量 installed capacity 电网 power system 落点 drop point 开关站 switch station 双回同杆并架 double-circuit lines on the same tower 变电站 transformer substation 补偿度 degree of compensation 高抗 high voltage shunt reactor 无功补偿 reactive power compensation 故障 fault 调节 regulation 裕度 magin 三相故障 three phase fault 故障切除时间 fault clearing time 极限切除时间 critical clearing time 切机 generator triping

(整理)集成电路英文代码对照

集成电路英文代码及中文对照(一) 我的文摘2009-11-21 11:32:53 阅读111 评论0 字号:大中小订阅 性能说明 产品名称 型号规格 LM LM24J 四运放(军用级) LM148J 通用四运放 LM1875T 无线电控制/接收器 LM224J 四运放(工业级) LM258N 分离式双电源双运放 LM2901N 四电压比较器 LM2904N 四运放 LM301AN 通用运算放大器 LM308N 单比较器 LM311P 单比较器 LM317L 可调三端稳压器/100mA LM317T 可调三端稳压器/1.5A LM317K 可调三端稳压器/3A LM318 高速宽带运放 LM324K 通用四运放 LM331N V-F/F-V转换器 LM336-2.5V 基准电压电路 LM336 5V 基准电压电路 LM337T 基准电压电路1A LM338K 可调三端稳压器5A LM339N 四比较器 LM348N 四741运放 LM358N 低功耗双运放

LM361N 高速差动比较器 LM386N 声频功率放大器 LM3914N 十段点线显示驱动 LM393N 低功耗低失调双比较器 LM399H 精密基准源(6.9) LM723CN 可调正式负稳压器 LM733CN 视频放大器 LM741J 单运放 LM741CN 双运放 NE NE521 高速双差分比较器 NE5532 双运放 NE5534 双运放 NE555N 单运放 NE555J 时基电路军品极 NE556 双级型双时基电路 NE564 锁相环 NE565 锁相环 NE567 音调译码器 NE592 视频放大器 OP OP07 低噪声运放 OP27 超低噪声精密运放 OP37 超低噪声精密运放 光电耦合 4N25 晶体管输出 4N25MC 晶体管输出 4N26 晶体管输出 4N27 晶体管输出 4N28 晶体管输出 4N29 达林顿输出

电力专业英语词汇

1)元件设备 三绕组变压器:three-column transformer ThrClnTrans 双绕组变压器:double-column transformer DblClmnTrans 电容器:Capacitor 并联电容器:shunt capacitor 电抗器:Reactor 母线:Busbar 输电线:TransmissionLine 发电厂:power plant 断路器:Breaker 刀闸(隔离开关):Isolator 分接头:tap 电动机:motor 2)状态参数 有功:active power 无功:reactive power 电流:current 容量:capacity 电压:voltage 档位:tap position 有功损耗:reactive loss 无功损耗:active loss 空载损耗:no-load loss 铁损:iron loss 铜损:copper loss 空载电流:no-load curren 阻抗:impedance 正序阻抗:positive sequence impedance 负序阻抗:negative sequence impedance 零序阻抗:zero sequence impedance 无功负载:reactive load 或者QLoad 有功负载: active load PLoad 遥测:YC(telemetering) 遥信:YX 励磁电流(转子电流):magnetizing current 定子:stator 功角:power-angle 上限:upper limit 下限:lower limit

PEC电气工程专业英语证书考试-电力系统专业英语词汇

PEC电气工程专业英语证书考试-电力系统专业英语词汇active filter 有源滤波器 Active power 有功功率 ammeter-电流表 taped-transformer-多级变压器 amplitudemodulation (AM)调幅 analytical 解析的 Arc reignition 电弧重燃 Arc suppression coil 消弧线圈 arc-extinguishing-chamber-灭弧室 dynamo-直流发电机 Armature电枢 Armature--电枢 Internal--combustion--engine--内燃机 Automatic oscillograph 自动录波仪 Automatic-control-自动控制 Principles-of-electric-circuits-电路原理 Automatic--meter--reading--自动抄表 Boiler--锅炉 Autotransformer 自藕变压器

Autotransformer自耦变压器 baghouse 集尘室 Bare conductor裸导线 binary 二进制 Blackout断电、停电 Brush--电刷?Deenergize--断电 Bustie breaker 母联断路器 Bushing套管 bushing-tap-grounding-wire-套管末屏接地线?power-transformer-电力变压器 calibrate 校准 Capacitor bank电容器组 Carbonbrush 炭刷 cascade-transformer-串级变压器 disconnector-隔离开关 Combustion turbine 燃气轮机 Commutator--换向器?Underground--cable--地下电缆 Compositeinsulator合成绝缘子 conductor-导线?current-transformer-CT-电流互感器 Converter (inverter)换流器(逆变器) Copper loss铜损

LCD专业术语中英文版

LCD专业术语中英文版 Backlight:背光。 CCFL(CCFT) (Cold Cathode Fluorescent Light/Tube):冷阴极荧光灯。 Composite vide复合视频。 Component vide分量视频。 COB(Chip On Board):IC裸片通过邦定固定于印刷线路板上。 COF(Chip On Film):将IC封装于柔性线路板上。 COG(Chip On Glass):将IC封装于玻璃上。 CRT(Cathode Radial Tube):阴极射线管。 DPI(Dot Per Inch):点每英寸。

Duty:占空比,高出点亮的阀值电压的部分在一个周期中所占的比率。 DVI(Digital Visual Interface):(VGA)数字接口。 ECB(Electrically Controlled Birefringence):电控双折射。 EL(Electro luminescence):电致发光。EL层由高分子量薄片构成 FSTN(Formulated STN):薄膜补偿型STN,用于黑白显示。 HTN(High Twisted Nematic):高扭曲向列的显示类型。 IC(Integrate Circuit):集成电路。 Inverter:逆变器。 ITO(Indium-Tin Oxide):氧化铟锡。

LCD(Liquid Crystal Display):液晶显示器。 LCM(Liquid Crystal Module): 液晶模块。 LED(Light Emitting Diode):发光二极管。 LVDS(Low Voltage Differential Signaling):低压差分信号。 NTSC(National Television Systems Committee):NTSC制式,全国电视系统委员会制式 OSD(On Screen Display):在屏上显示。 PAL(Phase Alternating Line)AL制式(逐行倒相制式)。 PCB(Print Circuit Board):印刷线路板。 PDP(Plasma Display Panel):等离子体显示。 SECAM(SE quential Couleur Avec Memoire):SECAM

电力系统专业英语单词..

power output 功率输出,输出功率 power output 功率输出,输出功率 short circuit a great deal 大量 ac 交流(电) admittance 导纳 amp 安培 amp 安培 amplitude振幅 arc 电弧,弧光 arise from 起于,由...出身 armature 电枢 armature winding 电枢绕组 arrangement 布置,排列;设备,装置;安装,装配;置配;安排 arrangement 布置,排列;安装,装配; assemblage 与会者(集合称), 集合, 集会, 装配 assume 假定, 设想, 采取, 呈现 automatic voltage regulator 自动电压调节器,自动稳压器,自动调压器autotransformer 自耦变压器 backup protection 后备保护,后备保护装置 block 组[件],单元,部件;机组,单元机组;滑轮;字组,块,程序块,数据块break down 毁掉, 制服, 压倒, 停顿, 倒塌, 中止, 垮掉, 分解 bus bar 汇流条, 母线 bus impedance matrix 母线阻抗矩阵,节点阻抗矩阵 bus impedance matrix 母线阻抗矩阵,节点阻抗矩阵 busbar 母线,汇流条,结点,节点,汇流排 bushing [电工]套管 capacitor bank 电容器组 carrier protection 载波保护,高频保护 carrier relaying 载波继电保护,高频保护,载波中继[制] carry 携带,搬运,传送,传播;支持,执行,进位,进列 changing 转换 charging currents 充电电流 circuit breaker [电工]断路开关, 断路器 Circuit breaker 电路断路器 circuit layout 电路布线,线路布置 circuit-breaker 〈电〉断路器,断路开关 circuit-breaker 〈电〉断路器,断路开关 clearing time 通信连络断开时间, 电话的话终时间 clearing time 通信连络断开时间, 电话的话终时间 combine with 与...结合 come into 得到 communication circuit 通讯电路 conductance [电工]电导, 导率, 电导系数

电厂设备专业英语词汇

基本专业英语一、常用运行 ON开(状态,常指信号) OFF关(状态,常指信号) OPEN开(状态,常指阀门) CLOSE关(状态,常指阀门) START启动 STOP停止 ALARM报警 OPERATE运行;操作 TRIP跳闸 TEST试验 INDICATION;DISPLAY指示;显示 INLET入口 OUTLET出口 INPUT输入 OUTPUT输出 AUTOMATION/MANUAL(HAND)自动/手动 AUXILIARY辅助 LOCAL就地 REMOTE摇控 PRESSURE压力 TEMPERATURE温度 FLOW流量 SPEED速度 RPM转/分 SYSTEM系统 BYPASS旁路 PIPE;TUBE管道,管子 PURGE吹扫 V AL VE阀门 UNIT单元、机组 PRINT打印 CURVE,LINE曲线,线 BALANCE平衡 INSTRUMENT AND CONTROL仪表与控制 MODE方式,模式 SET POINT设定点 TRANSMITTIER;TRANSDUCER变送器;传感器 CODE代码;编码 DA TA数据;文件;资料 STATIC静态

DYNAMIC动态 COLD START—UP冷态启动 W ARM START—UP温态启动 HOT START—UP热态启动 RESET复位 SELECT选择 UNA V AIL不允许(不能投用的) FAST快 SLOW慢 NORMAL正常 INCREAS增加 DECREAS减少 二、锅炉部分 BOILER锅炉 SUPERHEATER过热器 REHEATER再热器 WA TERW ALL水冷壁 DOWM COMER下降管 HEADER联箱、母管 STEAM DRUM汽包 DURM PRESSURE汽包压力 A TTEMPERA TOR减温器 SPRAY喷水 ECONOMIZER省煤器 ISOLATION隔离;绝缘 CHIMNEY;STACK烟囱 FLUE GAS烟气 WA TER水 STEAM蒸汽 SAFETY V AL VE安全阀 DRAIN AND VENT疏水和排气 Boiler Maximum Continuous Rating锅炉最大连续出力 PRESSURE DIFFERENCE压差 BOILER TRIP RESET锅炉跳闸复位 OPERATE MODE SELECT运行方式选择 MANUAL MODE手动方式 MAIN STEAM TEMP/PRESSURE/FLOW主汽温度/压力/流量FEED W ATER FLOW给水流量 REHEATER再热器 manhole人孔,检修孔 Taps接头 pipe taps管接头 test wells测点插孔

电力工程专业英语词汇.doc

(除尘器)振打装置Rap device (电子)板、卡card (输电)干线、通风道Trunk Bms 看门狗, bms 监视器Bms watchdog Q 线Q-line U 形螺栓U-bolt X 射线X-ray Y-△接法Wye-delta Y 形接法Wye 安规Emergency decree 安培Ampere 安培右手定则Thumb rule 安全Safety 安全措施Safety measure 安全带、保险带Lifebelt 安全的、可靠的、稳定的Safe 安全电压Safe potential 安全阀、减压阀Relieve valve 安全阀、突开阀Pop valve 安全规程Safety rules 安全帽Safety cap 安全线Escape valve 安全线Safety valve 安装Install 安装,组装assemble 安装、调整、建立Set up 安装、固定Mount 安装、装配、调整Rig 氨水箱Ammonia tank 按钮button 按钮Push button 巴,条杆Bar 白色White 百分比Percentage 百分数Percent PCT 百万分率Part per million PPM 摆动Tilting 扳手Spanner 班,组,队,大队brigade 班、组Gang 搬运机、载波、带电粒子carrier 办公室Office 办公室、会议室Chamber 磅Pound LB 磅/平方英寸(表压力)Psig 磅 /平方英寸 (绝对压力 ) Psia 饱和Saturate 饱和条件、饱和状态Saturate condition 饱和蒸汽Saturated steam SAT STM 保持Hold 保护Protection PROT 保险盒Fuse holder 保险丝、熔断器Fuse 报警Alarm 报警Warning 爆炸Explosion 备件Reserve parts 备件、备品Spare parts 备件、替换零件Replacement parts 备用、待机Standby 备用的Reserved 备用的、空余的Spare 背后,反向的Back 背压Back pressure 泵Pump Proportional/ integral / 比例/积分/微分 derivative PID 比率Ratio 比重Specific weight 闭环Closed-loop 闭式冷却水Closed cooling water 闭锁、密封舱、固定Lock 闭锁信号Blocking signal 壁面温度Wall temp 壁式再热器Radiator wall rh 避雷器Arrester 避雷器Lightning arrestor 避免 ,回避Avoid 编辑Edit 变电站、子站Substation 变送器Transmitter XMITEER 变速箱Gear shift housing 变压器Transformer XFORMER 标称出力、额定出力Nominal rating 标称的、额定的Nominal 标高Elevation ELEV 标号、标签Label 标记、注册Sign 标志Token 标准Standard 表格Tab 表格、纸张Sheet 表面的Surface 并联电抗器Shunt reactor 波纹管、膜盒Sylphon 剥线钳Wire stripper

电力专业英文词汇

电流current 电压voltage 功率power 频率frequency 电阻resistance 电容capacitance 电抗reactance 电阻率resistivity 阻抗impedance 相,相位phase 有功功率active power 无功功率reactive power 视在功率apparent power 装设功率installed power 安培ampere (A) 伏volt (V) 欧姆ohm 赫兹hertz (HZ) 瓦watt (W) 供电局power supply authority 电力公司power supply company 发电厂power plant 变电所substation 配电站distribution substation 配变电站transformer station 终端变电站terminal substation 车间变电站substation in workshop :室内变电站indoor substation 自动变电站automatic substation 成套变电站unit substation 高压室H.T room 低压室L.T room 变压器室transformer room 变压器平台transformer platform 柴油发电机室diesel generator room 控制室control room 蓄电池室battery room 维修间maintenance room 值班室duty room 休息室rest room 电容器室condenser room 充电室battery -- charging room 室外储油罐outdoor oil tank 地下油罐underground oil tank

电气专业英语

电力专业英语[转帖] (1)元件设备 三绕组变压器:three-column transformer ThrClnTrans 双绕组变压器:double-column transformer DblClmnTrans 电容器:Capacitor 并联电容器:shunt capacitor 电抗器:Reactor 母线:Busbar 输电线:TransmissionLine 发电厂:power plant 断路器:Breaker 刀闸(隔离开关):Isolator 分接头:tap 电动机:motor (2)状态参数 有功:active power 无功:reactive power 电流:current 容量:capacity 电压:voltage 档位:tap position 有功损耗:reactive loss 无功损耗:active loss 功率因数:power-factor 功率:power 功角:power-angle 电压等级:voltage grade 空载损耗:no-load loss 铁损:iron loss 铜损:copper loss 空载电流:no-load current 阻抗:impedance 正序阻抗:positive sequence impedance 负序阻抗:negative sequence impedance 零序阻抗:zero sequence impedance 电阻:resistor 电抗:reactance 电导:conductance 电纳:susceptance 无功负载:reactive load 或者QLoad 有功负载: active load PLoad 遥测:YC(telemetering) 遥信:YX

电力系统_专业英语词汇

电力系统power system 发电机generator 励磁excitation 励磁器exciter电压voltage 电流current 升压变压器step-up transformer 母线bus 变压器transformer 空载损耗:no-load loss铁损:iron loss 铜损:copper loss 空载电流:no-load current无功损耗:reactive loss有功损耗:active loss 输电系统power transmission system 高压侧high side 输电线transmission line 高压: high voltage低压:low voltage 中压:middle voltage 功角稳定angle stability稳定stability 电压稳定voltage stability 暂态稳定transient stability 电厂power plant 能量输送power transfer 交流AC直流DC 电网power system 落点drop point 开关站switch station 调节regulation 高抗high voltage shunt reactor并列的:apposable 裕度margin 故障fault 三相故障three phase fault分接头:tap 切机generator triping 高顶值high limited value 静态static (state) 动态dynamic (state)自动电压调节器AVR Automatic voltage regulator 电抗reactance 电阻resistance 功角power angle 有功(功率)active power 电容器:Capacitor电抗器:Reactor 断路器:Breaker 电动机:motor 功率因数:power-factor 定子:stator 阻抗电压:阻抗:impedance功角:power-angle 电压等级:voltage grade 有功负载: active load/PLoad无功负载:reactive load档位:tap position 电阻:resistor电抗:reactance 电导:conductance 电纳:susceptance上限:upper limit 下限:lower limit 正序阻抗:positive sequence impedance负序阻抗:negative sequence impedance零序阻抗:zero sequence impedance 无功(功率)reactive power功率因数power factor 无功电流reactive current 斜率slope额定rating 变比ratio 参考值reference value电压互感器PT 分接头tap 仿真分析simulation analysis下降率droop rate 传递函数transfer function 框图block diagram受端receive-side 同步synchronization 保护断路器circuit breaker 摇摆swing 阻尼damping 无刷直流电机:Brushless DC motor 刀闸(隔离开关):Isolator机端generator terminal 变电站transformer substation 永磁同步电机:Permanent-magnet Synchronism Motor 异步电机:Asynchronous Motor 三绕组变压器:three-column transformer ThrClnTrans 双绕组变压器:double-column transformer DblClmnTrans 固定串联电容补偿fixed series capacitor compensation 双回同杆并架double-circuit lines on the same tower 单机无穷大系统one machine - infinity bus system 励磁电流:magnetizing current 补偿度degree of compensation Electromagnetic fields 电磁场失去同步loss of synchronization 装机容量installed capacity无功补偿reactive power compensation故障切除时间fault clearing time 极限切除时间critical clearing time 强行励磁reinforced excitation 并联电容器:shunt capacitor < 下降特性droop characteristics 线路补偿器LDC(line drop compensation) 电机学Electrical Machinery 自动控制理论Automatic Control Theory电磁场Electromagnetic Field微机原理Principle of Microcomputer 电工学Electrotechnics Principle of circuits 电路原理Electrical Machinery 电机学 电力系统稳态分析Steady-State Analysis of Power System 电力系统暂态分析Transient-State Analysis of Power System 电力系统继电保护原理Principle of Electrical System’s Relay Protection 电力系统元件保护原理Protection Principle of Power Syste m’s Element

火电厂常用专业词汇-中英文

Vocabulary on Power Generation(Thermal Power Plant) 火电厂常用专业词汇 (本人根据自身工作经验总结、归纳,希望对您有所帮助) 1. stop valve/non-return valve(NRV)/check valve 截止阀;逆止阀, 单向阀 2. reverse valve 倒流阀 3. cylinder holding 闷缸 4. (cylinder)heat soaking 暖缸 5. boiler hot boxup 闷炉 6. boiler warm-up 暖炉 7. axial thrust/stress 轴向推力 8. axial shift/displacement 轴向位移 9. radial displacement 径向位移 10. emergency stop valve(ESV) 危急遮断阀 11. steam lead pipe 导汽管 12. valve body/casing 阀体,阀壳 13. synchronization (with power grid) 并网 14. inlet shut-off valve 进油关断阀 15. flame scanner/detector 火检 16. scanner fan 火检风机 17. BCP: Boiler Circulation Pump 炉水循环泵 18. slag remover 除渣机 19. slag conveyor 捞渣机 20. rotary vane feeder(RVF) 螺旋输送机(除灰站) 21. ECS:electric control system 电气控制系统 22. ETS: emergency trip system 汽机紧急跳闸系统 23. hydraulic station 液压油站 24. vent/ventilation valve(V.V) 排气阀、通风阀 25. ESP : Electro-Static Precipitator 静电除尘器;电除尘 26. dedust transformer 电除尘变 27. control valve 调阀、调门 28. pilot/slide valve 滑阀 29. steam header 集汽联箱 30. water filling (锅炉等)上水 31. bearing pillow 瓦枕 32. bush 轴瓦 33. forward/positive reverse/negative thrust bearing 正/负向推力轴承(瓦块) 34. atmospheric/top relief valve 对空排气阀 35. exhaust(gas) fan, oil vapor exhaust fan OVEF 排烟风机 36. operating transformer/O.X/OPER._.FMR 工作边 37. FGD: Flue Gas Desulphurization(desulfurize/ desulphurize) 烟气脱硫 38. anion 阴离子

Integrated_Circuits(集成电路)电子信息类专业英语、计算机类专业英语文章汇编

I n t e g r a t e d_C i r c u i t s(集成电路)电子信息类专业英语、计算机类专业英语文章

集成电路 集成电路 数字逻辑和电子电路由称为晶体管的电子开关得到它们的(各种)功能。粗略地说,晶体管好似一种电子控制阀,由此加在阀一端的能量可以使能量在另外两个连接端之间流动。通过多个晶体管的组合就可以构成数字逻辑模块,如与门和触发电路等。而晶体管是由半导体构成的。查阅大学化学书中的元素周期表,你会查到半导体是介于金属与非金属之间的一类元素。它们之所以被叫做半导体是由于它们表现出来的性质类似于金属和非金属。可使半导体像金属那样导电,或者像非金属那样绝缘。通过半导体和少量其它元素的混合可以精确地控制这些不同的电特性,这种混合技术称之为“半导体掺杂”。半导体通过掺杂可以包含更多的电子(N型)或更少的电子(P型)。常用的半导体是硅和锗,N型硅半导体掺入磷元素,而P型硅半导体掺入硼元素。 不同掺杂的半导体层形成的三明治状夹层结构可以构成一个晶体管,最常见的两类晶体管是双极型晶体管(BJT)和场效应晶体管(FET),图 2.1给出了它们的图示。图中给出了这些晶体管的硅结构,以及它们用于电路图中的符号。BJT是NPN晶体管,因为由N—P—N掺杂硅三层构成。当小电流注入基极时,可使较大的电流从集电极流向发射极。图示的FET是N沟道的场效应型晶体管,它由两块被P型基底分离的N型组成。将电压加在绝缘的栅极上时,可使电流由漏极流向源极。它被叫做N沟道是因为栅极电压诱导基底上的N通道,使电流能在两个N区域之间流动。 另一个基本的半导体结构是二极管,由N型和P型硅连接而成的结组成。二极管的作用就像一个单向阀门,由于电流只能从P流向N。可以构建一些特殊二极管,在加电压时可以发光,这些器件非常合适地被叫做发光二极管或LED。这种小灯泡数以百万计地被制造出来,有各种各样的应用,从电话机到交通灯。 半导体材料上制作晶体管或二极管所形成的小芯片用塑料封装以防损伤和被外界污染。在这封装里一些短线连接半导体夹层和从封装内伸出的插脚以便与(使用该晶体管的)电路其余部分连接。一旦你有了一些分立的晶体管,直接用电线将这些器件连线在一起就可以构建数字逻辑(电路)。电路会工作,但任何实质性的数字逻辑(电路)都将十分庞大,因为要在各种逻辑门中每实现一种都需要多个晶体管。 1947年,John Bardeen、Walter Brattain和and William Shockley发明晶体管的时候。将多个晶体管组装在一个电路上的唯一方法就是购买多个分离的晶体管,将它们连在一起。1959年,Jack Kilby 和 Robert Noyce各自独立

相关主题
文本预览
相关文档 最新文档