当前位置:文档之家› C语言实训--万年历源代码

C语言实训--万年历源代码

C语言实训--万年历源代码
C语言实训--万年历源代码

# include

#include

#include

#include

#include

# define YEAR 365

int numday[2][12]={{31,28,31,30,31,30,31,31,30,31,30,31}, {31,29,31,30,31,30,31,31,30,31,30,31}};

int leap(int year);

int calday(int y,int m);

int counterdays(int year,int month,int day);

int week(int year,int month,int day);

int eachyeartotal(int year,int month,int day);

int maxDay(int y,int month);

void print(int y,int m,int w);

void festival (int year,int month,int day);

int IsLeap(int y);

int guanli(int i);

void rili(int year,int month);

int rili1();

void rili2();

void rili3();

void rili4();

void rili5();

void fan();

void show(int year,int month,int date,int sec,int mini,int hour);

int main()

{

int i;

printf("***************************************\n");

printf("1.查询日历,输入年份:\n");

printf("2.查询该月日历及对应星期,输入年份和月份:\n");

printf("3.查询星期几及节日,输入年月日:\n");

printf("4.距您输入日期还有多少天,输入日期:\n");

printf("5.通过键盘上下控制不同年月日:\n");

scanf("%d",&i);

guanli(i);

return 0;

}

int guanli(int i)

{

switch(i)

{

case 1:rili1();return main();

case 2:rili2();return main();

case 3:rili3();return main();

case 4:rili4();return main();

case 5:rili5();return main();

}

return 0;

}

int rili1()

{

int year;

int n,i;

printf("输入年份:");

scanf("%d",&year);

printf("the calendar of the year%d\n",year);

printf("\n");

printf("=============================\n");

for(i=1;i<13;i++)

{

printf(" %d月份\n",i);

rili(year,i);

}

n = leap(year);

return 0;

}

void rili2()

{

int year,month,w;

printf("输入年月:");

scanf("%d%d",&year,&month);

w=calday(year,month);

print(year,month,w);

}

void rili3()

{

int year,month,day,number;

printf("输入年月日:");

scanf("%d%d%d",&year,&month,&day);

festival(year,month,day);

number= week(year,month,day);

printf("星期%d",number);

}

void rili4()

{

int year,month,day;

C++万年历实验报告

《高级语言程序设计》课程设计报告万年历程序设计

(1)课程设计名称:C++万年历设计 (2)使用工具软件:Microsoft visual C++ (3)课程设计内容简介 1、以开关语句进行执行功能的选择,这些功能分别是: A、判断闰年; B、判断某天是星期几; C、判断某年的隶属生肖; D、输出某年的日历; E、输出某月的日期; F、退出系统; 2、建立类wnl,定义类的成员函数; void isleapyear(); //判断闰年,用于输出判断结果,以流的形式bool isleap(int year); //判断闰年,返回判断结果,用于计算天数int getdays(int year,int month,int day); //获取据第一天天数 void weekdays(int year,int month,int day);//获取星期几 void printyear(int year); //输出年的日历 void printyearmonth(int year,int month); //输出某年某月的日历 void animal(int year); //判断某年的生肖 int getyear(){return Year;} //返回私有成员的值 int getmonth(){return Month;} int getday(){return Day;} 3、定义私有成员:i nt Year,Month,Day; 4、定义类的对象:_wnl; 5、为类的成员函数填写代码,满足功能实现; 6、运行环境:控制台应用程序的源程序; (4)得意之处: 1、可以满足多功能实现,可以循环执行功能; 2、可以选择每行输出的月份数; 3、有欢迎界面; 4、客户输入错误会有提示; 5、使用了C语言的流的形式,判断闰年,提高执行速度; 6、使用I/O流控制头文件iomanip.h控制月份日历的输出,更加整齐;(5)创意的技术实现

万年历组装实训报告

—實訓報告—(单片机实训报告)学院系别:机电工程学院 专业班级:电信BK31901 设计学生:张欢 指导老师:陈老师、阎老师 设计时间:2012年10月19日

万年历组装与调试及单片机实训报告 2012-10-219| 电信BK31901班张欢 一、实习时间:2012年10月15日至2012年10月19日 二、实习地点:机电实训楼 三、实习目的与要求: 1熟练C语言中if语句、for语句、while语句的使用。 2.掌握万年历的基本原理,学会分析基本的电路原理图。 3.学会利用Keil软件和proteus软件进行设计。 四、实习内容: 1.利用Keil软件对数码管(秒表)液晶(时钟)编程,并进行编译和链接。 2.掌握AT89C51芯片I/O口的功能及作用。 3.灵活运用C语言对中断、定时计数器及数码管进行编程设计。 4认真掌握proteus仿真软件,并用proteus画出电路图软件进行仿真。 5.掌握万年历的工作原理,学会贴片电容,贴片电阻,晶振集成块的识别与测试,进一步掌握和熟练焊接技术。 五、运用Keil软件对数码管及液晶进行编程设计并用proteus仿真 1.用数码管显示0—60的源程序: #include #define uint unsigned char #define uchar unsigned int sbit K1=P3^7; uchar i,Second_Counts,Key_Flag_Idx; bit Key_State; uchar code DSY_CODE[]={0x3f,0x06,0x5b,0x4f,0x66, 0x6d,0x7d,0x07,0x7f,0x6f}; void DelayMS(uint x) { uchar t; while(x--) for(t=0;t<500;t++);} void Key_Byent_Handle()

基于单片机的万年历实习报告

基于单片机的万年历实习报告

————————————————————————————————作者: ————————————————————————————————日期:

桂林理工大学信息科学与工程学院“电子系统设计创新与实践” 课程设计(实习)报告 题目: 具有温湿度测量功能的万年历设计专业(方向):电子信息工程 班级:电信二班 指导老师:蒋存波

2016年3月27日 目次 1. 绪论----------------------------------------------- 1 2. 总体设计方案--------------------------------------- 2 2.1 技术方案比较----------------------------------- -- 2 2.2总体技术方案------------------------------------- 3 3.硬件系统设计---------------------------------------- 4 3.1 硬件总体原理框图--------------------------------- 4

3.2关键元件介绍-------------------------------------- 4 3.2.1 LCD602显示屏-------------------------------- 4 3.2.3 DS1302时钟芯片------------------------------ 7 3.2.2 SHT10温湿度感应器--------------------------- 9 3.3 硬件设计------------------------------------------ 10 3.3.1 复位电路设计-------------------------------- 10 3.3.2晶振电路设计-------------------------------- 10 3.3.3 时钟芯片电路设计---------------------------- 10 3.3.4 温湿度感应器模块电路设计-------------------- 11 3.3.5按键模块电路设计---------------------------- 11 3.3.6 LCD1602显示模块电路设计--------------------- 11 3.3.7 电量检测报警电路设计------------------------- 12 3.3.8 蜂鸣器报警电路设计--------------------------12 3.3.6 总体电路原理图------------------------------- 12 4. 软件系统设计------------------------------------------13 4.1 软件功能设计-------------------------------------- 13 4.2 程序设计总体方案----------------------------------13 4.2.1 总体设计思路--------------------------------- 13

EDA实训万年历

《EDA技术与应用》实训报告指导教师:

实训题目:数字日历 1. 系统设计 1.1 设计要求 1.1.1 设计任务: 设计一个数字日历电路 1.1.2 技术要求: ⑴用Verilog HDL 语言设计一个数字日历。 ⑵数字日历能正确显示年、月、日、时、分和秒。 ⑶通过开关分别控制对年、月、日或时、分、秒校对及自动切换、,并且运用 按钮对年、月、日或时、分、秒校对。 ⑷通过按钮对数码管全部清零。 ⑸拓展功能:添加时钟闹钟功能,并通过LED管显示。 1.2 方案比较: 由于这次实验用到的仪器是EDA实训仪,数码管个数只有八个,因此不能同时显示年、月、日、时、分、秒。但是实训仪的LED管只有12个,因 此在拓展功能时12 小时做一个周期的整点记时显示。在多次的测试和修改 后,得到此最好方案。 1.3 方案论证 1.3.1 总体思路: 通过EDA S程的学习,可知运用Verilog HDL语言设计一个数字日历 括计时器模块(jsq60、j sq24 )、年月日模块(nyr2009)、控制模块 (conr)、校时选择模块(mux_4、显示选择模块(mux_16和闹钟定时模块 (LED1)、闹钟灯模块(nzd);然后将各个模块连接起来画成原理图再下载 到实训仪上,调节开关及按钮来实现数字日历的功能。 1.3.2 设计方案: 总原理图如下:

2 ?各个模块程序的设计 (1)设计一个分频器进行20MHz分频得到实训所需的1Hz的频率 分析:这是个20MHZ寸钟的分频器,将原来EDA实训仪提供的频率为20MHZ 的时钟转换成频率1HZ的时钟,然后输出到有需要的端口,触发模块起作用。 ⑵分别设计一个能显示年月日和时分秒的程序 校准年月日和秒分时的原理图如下: 分析:①当k=0时,开关j1,j2,j3校秒时分然后将信号分别送到jsq24模块的jm(校秒)、jf (校分)、js (校时)。 ②当k=1时,开关j1,j2,j3校年月日然后将信号分别送到nyr2009模块的jr(校日)、jy (校月)、jn (校年)。 计算年月日的模块如下: FIHI Ilk m ■!:! 下町nr TUT TFT) H'j 31 , mzitc' FIH iOS H<匚; rm c 计七匚― H ?- i-im LTJ rn ?■ FIM Cl | r-NLCs' M'l t Fl处i/l FIW反

万年历实验报告

篇一:电子万年历实验报告 重庆电力高等专科学校 计算机科学系 实训报告 课程名称 实验名称 班级信息0911 姓名廖林单片机应用技术电子万年历 学号 200903020243 教师任照富 日期 2010-12-28 地点一教七机房一、实训任务: 1、看实训要求,计划出自己实训所要用元件,在网上查找资料。 2、思考仿真原理图,然后画出来(具体i/o口暂时不确定)。 3、在网上找一些程序,然后自己改一些,进行编译。 4、编译无误之后,跟原理图相联系,完成最终的仿真图。 5、进行最后的改写,把程序和仿真原理图确定下来。 6、根据仿真图形,制作出实物图。 7、在实物制作过程中,进行电路检查和最后的调试。 二、实训要求: 要求:能显示阳历的年、月、日、星期、时、分、秒; 能显示阴历的年、月、日; 能进行日期、时间的调整。 扩展:能显示当前温度; 有闹钟功能(至少2个) 选择ds1302时钟芯片,温度传感器采用ds18b20数字温度传感器。 三、实训环境(软件、硬件): 软件:keil protues7.5 硬件:计算机2单片机的应用 四、实训意义:在这快速发展的年代,时间对人们来说是越来越宝贵,在快节奏的生活时,人们往往忘记了时间,一旦遇到重要的事情而忘记了时间,这将会带来很大的损失。因此我们需要一个定时系统来提醒这些忙碌的人,而数字化的钟表给人们带来了极大的方便。由于单片机具有灵活性强、成本低、功耗低、保密性好等特点,所以电子日历时钟一般都以单片机为核心,外加一些外围设备来实现。 电子万年历作为电子类小设计不仅是市场上的宠儿,也是是单片机实验中一个很常用的题目。因为它的有很好的开放性和可发挥性,因此对作者的要求比较高,不仅考察了对单片机的掌握能力更加强调了对单片机扩展的应用。而且在操作的设计上要力求简洁,功能上尽量齐全,显示界面也要出色。所以,电子万年历无论作为竞赛题目还是毕业设计题目都是很有价值。对于这个实验项目,我们还要有一些其他的基本知识掌握和意义:(1)在学习了《数字电子技术》和《单片机原理及接口技术》课程后,为了加 深对理论知识的理解,学习理论知识在实际中的运用,为了培养动手能力和解决实际问题的经验,了解专用时钟芯片ds1302,并会用ds1302芯片开发时钟模块,应用到其他系统中去。熟悉keil和protues软件调试程序和仿真. (2)通过实验提高对单片机的认识; (3)通过实验提高焊接、布局、电路检查能力; (4)通过实验提高软件调试能力; (5)进一步熟悉和掌握单片机的结构及工作原理。

万年历生产工艺实训报告

“电子产品综合设计”课程 基于单片机带温度的液晶万年历 设计报告书 \ 目录 1 绪论....................................................................................错误!未定义书签。 2 设计要求及设计框图 (3) 2.1 设计要求 (3) 2.2 设计框图 (3)

3 知识要点..........................................................................错误!未定义书签。 3.1 LMO16L液晶模块 (3) 3.2 基于Proteus ISIS 7的液晶模块仿真 (4) 3.3 时钟芯片DS1302 (10) 3.3.1 DS1302的控制字节 (4) 3.3.2 数据输入输出(I/O) (11) 3.3.3 DS1302的寄存器 (4) 3.4 DS18B20数字温度传感器 (12) 3.4.1技术性能描述 (12) 3.4.2 DS18B20主要的数据部件 (13) 3.4.4 DS18B20的主要特性...............................................错误!未定义书签。 4 生产技术文件 (5) 4.1设计电路图 (5) 4.2技术说明 (6) 4.3技术说明 (7) 4.4元器件明细表 (8) 4.5PCB板工艺流程图 (9) 4.6工艺流程图 (10) 4.7印制板装配图 (11) 4.8印制板工艺说明 (12) 4.9制造工艺 (13) 4.10焊接工艺说明 (14) 4.11工具明细表 (15) 5作业指导书 (16) 5.1人员分配 (17) 5.2岗位操作指导书 (18) 5.3安全职责规程 (19) 5.4附 (20) 6设计总结 (20) 1、绪论 1.1课题背景 现在电子产品制造业的发展日新月异,产品的电路、功能设计和生产工艺在不断提升。电子产品生产的指导思想是按照发展要有新思路、改革要有新突破、开放要有新局面、工

万年历时钟实验报告

万年历时钟设计报告 专业: 年级: 姓名: 学号: 指导老师:

万年历的设计与仿真 一、实验目的; 电子时间显示器现在在任何地方都有涉及到,例如电子表和商场、车站的时间显示等等,所以它是一种既方便又实用的技术,而我们所做的万年历则是在它的基础上做出来的,通过万年历的制作,我们可以进一步了解计数器的使用,了解各个进制之间的转换,以及他的任意进制计数器的构成方法等,并且进一步了解74LS160以及74ls90的性质,以及门电路的使用等。 二、实验要求: A. 设计一个能显示“年月日”、“星期”、“时分秒“的十进制万年历时钟显示器; B. 要求要满足一天24小时,一小时60分,一分60秒; C. 关于显示星期天时,要用8来代替; D. 年月日显示时,要满足大月31天,小月30天,闰年二月29天,平年二月28天; 三、实验器材: ISIS 仿真软件、一些常用逻辑门(与门、非门,或门等); 本实验要用到得芯片有:74ls160 74ls161 74ls160 74ls160: 74ls160是一块十进制上升沿触发计数器如右下图: 其中MR 是异步清零端,LOAD 是同步置数端 CLK 是时钟脉冲输入端;D0、D1、D2、D3是 置数输入端,Q0、Q1、Q2、Q3是计数输出端, RCO 是进位端; 74ls161与74ls160的功能基本相同,74ls160是十进制的,而74ls161是十六进制的。 异步清零端 进位端 同步置数端

置九端 74ls90: 74ls90是一块二—五—十进制计数器其图如下: 其中 2、3端为置零端,6、7端为置九端, CKB 为五进制脉冲输入端,CKA 二进制脉冲输入端, ,Q0、Q1、Q2、Q3是计数输出端; LED 七段显示器: 其功能是将BCD 码以十进制形式显示出来,其图如下: 四、万年历时钟构架图: 万年历时钟显示器需要有显示“年”、“月”、“日”、“星期”、“时”、“分” “秒”的功能,又根据它们之间的进位和置位关系 可知,它们主要有以下各部分组成,其整个电路的 框架图如下图所示: 五进制脉冲输入端 置零端 二进制脉冲输入端

数码管万年历实习报告..

河南机电高等专科学校综合实训报告 系部: 专业: 班级: 学生姓名: 学号: 2013年 6月 28日

实训任务书 1.时间:2013年6月15日~2013年6月28日 2. 实训单位:河南机电高等专科学校 3. 实训目的:深入学习电子产品设计制作的全过程 4. 实训任务: ①加深学习电路图绘制软件的相关常识及其特点; ②以51单片机为核心设计出一个LED万年历; ③万年历具有年份、月、日、时、分、秒、周、温度、整点报时等功能; ④自己独立完成设计电路图,生成PCB,转印、腐蚀电路板,焊接等硬件制作工作; ⑤会使用keil软件编写程序,并完成烧写过程; ⑥学会调试程序,敢于发现问题,解决问题; ⑦参考相关的的书籍、资料,认真完成实训报告。

综合实训报告 前言: 万年历是我们经常见到和使用的计时工具,使用方便,功能多。但自己做一个让人满意的万年历,就不一定有那么轻而易举了,本次实训的任务就是自己亲手做一个万年历。利用本学期学过的单片机知识,设计出具有显示年、月、日、时、分、秒、周、温度功能,同时有整点报时的功能的万年历。 一、实训器件 1.温度传感器DS18B20 采用数字式温度传感器DS18B20,此类传感器为数字式传感器而且仅需要一条数据线进行数据传输,易于与单片机连接,可以避免A/D模数转换模块,降低硬件成本,简化系统电路。另外,数字式温度传感器还具有测量精度高、测量范围广等优点。因此,本设计DS18B20温度传感器作为温度采集模块。 2.时钟芯片DS1302 采用DS1302时钟芯片实现时钟,它可以对年、月、日、周、时、分、秒进行计时,具有闰年补偿功能,工作电压为2.5V~5.5V。采用三线接口与CPU进行同步通信,并可采用突发方式一次传送多个字节的时钟信号或RAM数据。DS1302内部有一个31×8的用于临时性存放数据的RAM寄存器。具有主电源/后背电源双电源引脚,同时提供了对后背电源进行涓细电流充电的能力。主要特点是采用串行数据传输,可为掉电保护电源提供可编程的充电功能,并且可以关闭充电功能。采用普通32.768kHz晶振。因此,本设计中采用DS1302提供时钟。 3.74LS154 74LS154 为 4 线-16 线译码器,其主要电特性的典型值如下: 当选通端(G1、G2)均为低电平时,可将地址端(ABCD)的二进制编码在一个对应的输出端,以低电平译出。若将G1和G2中的一个作为数据输入端,由ABCD对输出寻址,54/74154 还可作4线-16线数据分配器。 引出端符号: A、B、C、D 译码地址输入端(低电平有效) G1、G2 选通端(低电平有效) 0-15 输出端(低电平有效) 可用此种芯片作为数码管的选址芯片。 4.74HC573 74HC573是八进制3态非反转透明锁存器,是高性能硅门CMOS器件。当锁存使能端为高时,这些器件的锁存对于数据是透明的(也就是说输出同步)。当锁存使能变低时,符合建立时间和保持时间的数据会被锁存。输出能直接接到CMOS,NMOS和 TTL接口上操作电压范围:2.0V~6.0V×低输入电流: 1.0uA 。本实训采用此芯片作为数码管的驱动芯片。 5.数码管 本实训使用共阴极数码管作为显示器件,采用 LED 数码管动态扫描,LED 数

EDA万年历实训报告

《EDA技术及其应用》 实 训 报 告 班级 11241 姓名苏合信,张明伟,朱迎新,王亚坤学号 22,11,28,29 指导教师薛瑞 2013年05月26 日 北华航天工业学院 11级电子工程系

目录 一设计要求 (2) 1.0 设计目的及意义 (2) 1.1 设计要求 (2) 二设计流程: (2) 2.0 原理框图 (2) 2.1 VHDL设计思路 (3) 三 VHDL程序 (3) 3.0 天计数模块 (6) 3.1 月计数模块 (7) 3.2 年计数模块 (9) 3.3 调时控制模块 (11) 3.4 译码模块 (12) 3.5 扫描模块 (12) 四心得体会 (14) 4.0 (14) 五附录 (15) 5.0 顶层文件 (15) 一、设计目的及意义

1.0 设计目的及意义 在掌握EDA理论知识的基础上进一步了解EDA开发软件QuartusII的使用,掌握VHDL编程的技巧及方法,学会并熟练掌握PC机与实验箱的连接下载及使用,进一步提高自己的动手操作能力。 1.1 设计要求 利用QuartusII编写程序在实验箱上实现万年历的年月日的显示,要求能够区分闰年与平年;年月日,时分秒可以自由调整并能随意切换;能够清楚地分辨出年月日,时分秒的显示状态。 二、设计流程 2.0 原理框图 2.1 VHDL设计思路 编写年月日模块,年模块要有一个反馈端口控制月;月也要有一个反馈端口控制日;最后编写调时模块和扫描模块,以及译码模块,可以用k1,k2调节年月,用对应的LED等的亮灭来表示调节状态。 三、VHDL程序 3.0 天计数模块

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity tian is port(clk:in std_logic; pan:in std_logic_vector(1 downto 0); T1:out std_logic_vector(6 downto 0); cout:out std_logic); end tian; architecture one of tian is signal q1:std_logic_vector(3 downto 0); signal q2:std_logic_vector(2 downto 0); signal ab:std_logic_vector(1 downto 0); begin process(clk,pan) begin if clk'event and clk='1' then q1<=q1+1; if q1=9 then q1<="0000"; q2<=q2+1; end if; case pan is when "00"=> if q2=3 and q1=1 then q2<="000" ;q1<="0001";cout<='1'; else cout<='0'; end if; when "01"=> if q2=3 and q1=0 then q2<="000" ;q1<="0001";cout<='1'; else cout<='0'; end if; when "10"=> if q2=2 and q1=8 then q2<="000" ;q1<="0001";cout<='1'; else cout<='0'; end if; when "11"=> if q2=2 and q1=9 then q2<="000" ;q1<="0001";cout<='1'; else cout<='0'; end if; when others=>null; end case; end if; end process;

软件工程-万年历实验报告

《软件工程》实验报告 学号:099074069 姓名:朱霄 班级:计092 指导教师:周兵

实验题目:编写一个万年历程序 要求: 输入一个年份(1-3000),然后显示12个月的月历,如屏幕显示不下,则应提供翻页功能。 一:实验目的 1、能按照软件工程的思想,采用面向对象过程的方法开发出一个小型的软件系统 2、在软件系统开发过程中,能综合利用一门编程语言和软件工程等多门课程知识 3、培养良好的软件开发习惯,了解软件企业文化 4、掌握结构话数据流分析技术 5、掌握结构化程序设计的基本概念与技术,并且养成良好的编码风格 6、掌握单元测试的一般步骤及技术 7、掌握集成测试的一般步骤和技术 二:实验内容 1、功能需求分析 ·能列出公元元年到3000年所有月历 ·能解决闰年和平年问题 ·能输出显示结果 2、运行需求分析 ·运行于window xp 及更高版本操作系统上 3、数据流图

4、软件设计与编码 ·检查输入 ·输入数据是否超出程序处理范围 ·输入数据是否非法字符机器处理

while(iserror){ printf("Enter the year:"); scanf("%d",&year); if(year<1||year>3000) printf("input error!\n"); else break; getch(); } ·确定闰年 if((year%4==0)&&(year%100!=0)||year%400==0) ·显示表头 ·计算一月一日 ·程序代码: #include #include #include int isleapyear(int year){ if((year%4==0)&&(year%100!=0)||year%400==0) return 1; else return 0; } void main(){ int isleapyear(int); int i,day,year,temp,temp_i,year_start=1,per_year_days,iserror=1; int month_days[]={31,28,31,30,31,30,31,31,30,31,30,31,29}; long int year_days=0; while(iserror){ printf("Enter the year:"); scanf("%d",&year); if(year<1||year>3000) printf("input error!\n"); else break; getch(); } while(year_start

电子日历实习介绍

重庆科技学院 学生实习(实训)总结报告 学院:_电气与信息工程学院__ 专业班级:__ ___ _ 学生姓名:_ 百里潇湘__________学号:__201344XXXX_ _ 实习(实训)地点:_I512___________ ____________ __ 报告题目:_ 关于多功能电子日历的实习报告_____________ 报告日期: 2015 年 07 月 10 日 指导教师评语: ____________ ___________________________ __________________________________________________________ __________________________________________________________ __________________________________________________________ __________________________ _____ 成绩(五级记分制):______ _______ 指导教师(签字):_____________________

目录 一、实验目的意义和任务 (1) 1.1 设计目的意义 (1) 1.2任务 (1) 二、实习任务的方案 (2) 2.1 单片机芯片的选择方案 (2) 2.2 (2) 2.3 (2) 2.4电路设计最终方案决定 (2) 三、系统硬件设计 (3) 3.1 电路设计框图 (3) 3.2 系统硬件概述 (3) 3.3 单片机的最小系统 (3) 3.4 时钟电路 DS1302 (4) 3.5 显示电路的设计 (6) 四、系统软件设计 (9) 4.1 主程序流程图 (9) 4.2 DS132程序 (10) 五、调试及性能分析 (13) 5.1 调试步骤 (13) 5.2性能分析 (13) 六、心得体会 (13) 参考文献 (14) 附录 1 系统总程序 (15) 附录2 系统电路图 (21)

电子万年历实验报告

实验报告 电子万年历: 一、需求分析: 电子万年历系统主要实现以下单个查询功能: 1、查询某一年的日历。要求从键盘输入年份,输出该年12个月份的日历 2、查询某一天某一个月的日历。要求从键盘输入年份和月份,输出该月的日历。 3、查询某一天是星期几。要求从键盘输入年、月、日,输出这一天是星期几。 二、总体设计: 1、功能模块图 计算模块:用于计算天数,判断闰年 输出模块:用于菜单输出和日历输出 查询模块:实现查询某一年的日历。查询某一天某一个月的日历。查询某一天是星期几。 三、详细设计: 1、计算模块: 计算模块主要包含3个函数:LeapYear()、CountYearDays()、CountMonthDays 函数 CountYearDays()功能是计算从公元元年1月1日到某年12月31日一共有多少 天。 CountYearDays()功能是几双当年1月1日到输入日期的前一个月的天数 2、输出模块包含2个函数:Menu()和ListMonth()函数。 Menu()函数用来输出系统提供的功能选项。 ListMonth()函数用来按规定格式输出一个月的日历。 3、查询模块针对系统要实现三个查询功能定义了3个函数:Search1()、 Search2() 和 Search3()函数。 函数Search1()用来显示一年12个月的日历,输入年份后,计算该年1月1日是星期几,然后从1月份开始一次输出每个月的日历,其中调用了函数LeapYear ()、CountYearDays()和CountMonthDays()。 函数Search2()用来显示某年某月的日历,输入年份和月份后,计算出该年该月1日是星期几,然后输出这个月的日历,其中调用了函数LeapYear()和 CountYearDays()、CountMonthDays()和ListMonth()。 函数Search3()用来显示某天是星期几,通过调用函数LeapYear()、CountYearDays()和CountMonthDays()计算出从公元元年1月1日到这一天总 共过去了多少天,用这个总天数除以7得到的余数即为答案。 4、调试与测试:

C语言课程设计报告 万年历

. 课程设计题目:万年历 实验题目:万年历程序的编写实验目的:综合运用所学的C语言知识解 决一个比较实际的简单问题,侧重对数组、函数、指针、结构体和文件等相关内容的综合应用,使同学们能进一步熟悉掌握C语言的基本语法,进一步提升自己的编程能力并逐步培养编程思维,进而不断提高同学们解决问题的能力,并为以后的语言学习打下良好的基础。 实验要求:万年历设计 主要功能包括: 1、查询某年某月每一天对应星期几。 2、可以查询某年某月的上个月或是下个月的日历情况。 3、查询某年某月某日是这一年的第几天,并查询改天 是星期几。 4、判断该年是闰年还是平年,判断这一年的生肖。 实现思路(要求有文字描述,并画出流程图):. . 开始

. . 实现过程(要求有文字说明实现的过程,并对相应的代码添加注释):见程序(程序见后)后的解析。。。运行时只要你输入年月日, 你要的星系都会显示出来;如果想继续输入日期的话,只需按y就可以,祥就可以结束。n结束按实验总结(分析程序的得与失): 得:通过这次程序设计,更使我了解了编程序的严谨性,每个问题,每个不 走都得有依据,不得盲目乱造,同时也让我获得了一点编写简单程序的能力,使自己平时在理论课中很多部明白的东西明白了好多,学会了好多语句的用法,比如会用‘y' or‘ n'来决定程序是否要继续;怎么控制输出格式等等。总之在这次程序设计中我学到了很多。 失:在编程序过程中有些函数的用法不怎么会用,偶尔会落下写简单的东西。 如‘,'‘;'等;同时在编写输出上下个情况及判断程序是否要继续的程序上花费了好多时间;函数的声明、调用既然不清楚,感到很惭愧。实验的过失就是成功的阶梯,在过失中我也学到很多。 心得体会:我体会到了编程序的严谨性,也觉得如果我们要是熟悉课本所讲的内容,不怕麻烦,坚持不懈,我们一定可以将它实现的。要有耐心,有时候很

电子万年历实训报告

河南工程学院 单片机 实训报告 学院电气信息工程学院专业电气工程及其自动化 组员及学号李东盼201250712138 高辉201250712216 屈海兴201250712213 设计题目电子万年历的设计制作 指导教师翁嘉民

内容:电子万年历能显示阳历年、月、日、星期、小时、分、秒和阴历月、日以及实时温度。 实现的功能及要求 基本要求 A、具有年、月、日、星期、时、分、秒等功能; B、具有年、月、日、星期、时、分、秒校准功能 C、校准时具有闪烁功能。 D、具有实时温度显示功能。 摘要 本文通过一个基于单片机的能实现数字显示功能万年历的设计,从而达到学习、了解单片机相关指令在各方面的应用。系统由主控制器AT89S52、时钟电路DS1302.、显示电路、按键电路和复位电路等各部分构成,能实现时钟日历显示功能,能进行时、分、秒的显示。 关键词:单片机,农历查询,万年历 Abstract Based on a micro controller based on the will to achieve calendar of a multi-functional electronic clock design.thereby achieve studying and understanding the relevant directives SCM in all aspects of the application. By main control AT89C51、clock circuit DS1302、display circuit、key stroke circuit and in store circuit componented ,to achieve clock calendar display function can be carried out, hours seconds of the show and real-time temperature display. Key words Monolithic single-chip, lunar calendar demand, perpetual calendars

万年历实训报告

《EDA技术及其应用》 实训报 告 班级_________________ 姓名XX ____________________________ 学号XX ________________________________ 指导教师XX __________________________________ 2012 年05月21 日 XXXX 学院 10 级机械电子工程系

目录 题 义 求 点 材

(4) 1. 7 实验设计思想................ . (4) 1. 8 各模块的设计程序和元件介绍5 感想.............................................. ....

、八、? 前言 随着电子技术的不断发展与进步,电子系统的设计方法发生了很大的变化,基于EDA技术的设计方法正成为电子系统设计的主流,EDA技术已成为许多高职高专院校电类专业学生必须掌握的一门重要技术。 此次基于EDA技术的万年历实训,加强了同学们对 EDA工具Quartusll 的使用方法、VHDL语言知识、FPGA开发技术的深入理解,通过万年历的功能实现和功能测试,详细介绍Quartusll 的完整设计流程,在此基础上,进一步介绍面向复杂模块的使用方法。其中包括年、月、日、时、分、秒以及调整、控制等各个模块的功能实现和仿真测试,进一揭示VHDL语言在语句应用和电路功能描述上的特点及其特殊用法,使我们在很短的时间内快速掌握了EDA这门重要技 术。 实验的课题:万年历 实验的目的及意义: (1)掌握EDA技术及FPGA技术的开发流程。 (2)掌握系统设计的方法和层次化设计的方法。 (3)掌握Quartusll 软件的使用方法。 (4)掌握VHDI语言的程序设计、编写、编译和错误修改。 (5)掌握熟悉EDA实验箱的各个模块 (6)掌握EDA式验箱与pc机的连接、下载和引脚绑定的全过 程和方法,实现功能仿真。 实验的内容及要求:

单片机万年历实训报告

单片机万年历实训报告 系部:电气自动化系 班级:13电信2班 姓名:周祝福 指导老师:黄丽英、侯聪玲 实训时间:2015年1月19日~23日

用AT89C51与LCD1602设计 的可调式电子日历时钟 一、总体设计 1.1、设计目的 为巩固所学的单片机知识,把所学理论运用到实践中,用LCD1602与AT89C51 设计可调式电子日历时钟。 1.2、设计要求 (1)显示:年、月、日、时、分、秒和星期; (2)设置年、月、日、时、分、秒和星期的初始状态; (3)能够用4个按键调整日历时钟的年、月、日、时、分、秒和星期; 完成可调式电子日历时钟的硬件和软件的设计,包括单片机的相关内 容;日历时钟模块的设计,液晶显示模块的设计,按键模块的设计。 控制程序的编写等。 1.3、系统基本方案选择和论证 1.3.1、单片机芯片的选择方案 方案一: 采用89C51芯片作为硬件核心,采用Flash ROM,内部具有4KB ROM 存储空间,能于3V的超低压工作,而且与MCS-51系列单片机完全兼容。 方案二: 采用STC12C5A60S2系列单片机是宏晶科技生产的单时钟/机器周期(1T)的单片机,是高速/低功耗/超强抗干扰的新一代8051单片机,指令代码完全兼容传统8051,但速度快8-12倍。内部集成MAX810专用复位电路,2路PWM,8路高速10位A/D转换(250K/S),针对电机控制,强干扰场合。但造价较高。

1.3.2 、显示模块选择方案和论证: 方案一: 采用点阵式数码管显示,点阵式数码管是由八行八列的发光二极管组成,对于显示文字比较适合,如采用显示数字显得太浪费,且价格也相对较高。所以不用此种作为显示。 方案二: 采用LED数码管动态扫描,虽然LED数码管价格适中,但要显示多个数字所需要的个数偏多,功耗较大,显示出来的只是拼音,而不是汉字。所以也不用此种作为显示。 方案三: 采用LCD液晶显示屏,液晶显示屏的显示功能强大,可显示大量字符,且视觉效果较好,外形美观。LCD1602可实现显示2行十六个字符。 1.3.4、电路设计最终方案决定 综上各方案所述,对此次作品的方案选定:采用80C51作为主控制系统;LCD1602液晶带汉字库显示屏作为显示部分。 二、硬件设计 2.1、电路设计模块图如图

电子万年历实训报告

工程学院 单片机 实训报告 学院电气信息工程学院专业电气工程及其自动化 组员及学号东盼8 高辉 6 屈海兴 3 设计题目电子万年历的设计制作 指导教师翁嘉民

容:电子万年历能显示阳历年、月、日、星期、小时、分、秒和阴历月、日以及实时温度。 实现的功能及要求 基本要求 A、具有年、月、日、星期、时、分、秒等功能; B、具有年、月、日、星期、时、分、秒校准功能 C、校准时具有闪烁功能。 D、具有实时温度显示功能。 摘要 本文通过一个基于单片机的能实现数字显示功能万年历的设计,从而达到学习、了解单片机相关指令在各方面的应用。系统由主控制器AT89S52、时钟电路DS1302.、显示电路、按键电路和复位电路等各部分构成,能实现时钟日历显示功能,能进行时、分、秒的显示。 关键词:单片机,农历查询,万年历 Abstract Based on a micro controller based on the will to achieve calendar of a multi-functional electronic clock design.thereby achieve studying and understanding the relevant directives SCM in all aspects of the application. By main control AT89C51、clock circuit DS1302、display circuit、key stroke circuit and in store circuit componented ,to achieve clock calendar display function can be carried out, hours seconds of the show and real-time temperature display. Key words Monolithic single-chip, lunar calendar demand, perpetual calendars

万年历实训报告

一、任务目的 通过万年历的设计与制作,进一步熟悉单片机内部定时器、I/O口、中断、提高实践能力 二、设计要求 用单片机设计一个功能实用,使用简便万年历,具体要求:根据提供的单片机键盘接口模块电路,加上必要的外部电路,设计出万年历的硬件电路,编写软件,用Proteus进行仿真实验,然后进行实物的软硬件调试,并撰写符合要求的实训报告。 三、系统硬件电路设计 可分为最小系统、键盘电路、显示部分等几个单元电路,要求画出各部分电路图,写出工作原理。 这是仿真总图 1、最小系统:最小系统包括时钟电路和复位电路。

时钟信号的产生:在MCS-51系列单片机内部有一个高增益反相放大器,其输入端引脚为XTAL1,其输出端引脚为XTAL2。只在XTAL1和 XTAL2之间跨接晶振和微调电容,就可以构成一个稳定的自激振荡器。 复位电路,可分为上店复位电路和按键复位电路,在电子琴设计中采用的是按键复位电路。该电路用RESET键实现复位,此时电源经两个电阻分压,在RST 端产生一个复位高电平 2、按键电路:因为显示数码有限,所以就用P2_7口来判断,即当P2_7=0时显示时分秒; P2_7=1显示年月日。而三个按钮分别是年月日或时分秒对应的加法按钮。 端,而P1口是段码输入端,来进行动态扫描。

四、软件设计 #include unsigned int hour=0, min=0,sec=0,c=0,r=20, y=3,n=2012; unsigned char led[]={0x11,0xd7,0x32,0x92,0xd4,0x98,0x18,0xd3,0x10,0x90}; void delay(unsigned int x) { unsigned int j; while(x--) for(j=0;j<125;j++); } void main() { TMOD = 0x01; TH0 = (65536-50000)/256; TL0 = (65536-50000)%256; EA=1; ET0=1; TR0=1; while(1) { unsigned int q=0; for(q=0;q<50;q++) { if (P2_7==0)

相关主题
文本预览
相关文档 最新文档