当前位置:文档之家› 毕业论文设计EDA课设数字钟

毕业论文设计EDA课设数字钟

毕业论文设计EDA课设数字钟
毕业论文设计EDA课设数字钟

课程设计任务书

学生:董勇涛专业班级:电子科学与技术0902班

指导教师:梁小宇工作单位:信息工程学院

题目: 数字钟

设计目的:

1、掌握在QuartusⅡ软件的使用方法,并能熟练的在QuartusⅡ环境中运用VHDL 语言完成一些简单程序的设计;

2、掌握数字钟的主要功能与在FPGA中的实现方法。

要求完成的主要任务:

1、课程设计工作量:1周。

2、技术要求:

(1)设计一个6位LED动态扫描显示的数字钟,根据一个控制键能选择显示时、分、秒或年、月、日;

(2)通过拨码开关可以进行时、分、年、月、日的调整,可以实现翻屏;

3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。

全文用A4纸打印,图纸应符合绘图规。

时间安排:

1、2012 年6 月11日集中,作课设具体实施计划与课程设计报告格式的要求说

明。

2、2012 年6 月12日至2012 年6 月15日查阅相关资料,学习电路的工作

原理。

3、2012 年6 月17 日至2012 年 6 月19 日,方案选择和电路设计。

4、2012 年6 月20 日至2012 年 6 月21 日,电路调试和设计说明书撰写。

5、2011 年6 月22日上交课程设计成果及报告,同时进行答辩。

指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

摘要............................................................................................................... I Abstract ......................................................................................................... II

1 绪论 (1)

2 设计容及要求 (1)

2.1设计目的及主要任务 (1)

2.1.1设计目的 (1)

2.1.2 设计任务及要求 (1)

2.2设计思想 (2)

3 数字钟的设计 (4)

3.1 设计原理与方法 (4)

3.2 单元模块设计 (4)

3.2.1 分频计模块设计 (4)

3.2.2 消抖电路模块设计 (5)

3.2.3 计数器模块设计 (5)

3.2.4 闹钟及蜂鸣器设计 (7)

3.2.5 多路复用器模块设计 (8)

3.2.6 八段译码模块设计 (8)

3.3 数字钟设计总原理图 (8)

4 编译报告 (8)

4.1 设计原理与方法 (8)

5 电路仿真与硬件调试 (9)

5.1 电路仿真 (9)

5.2 硬件调试 (10)

6 总结与心得体会 (10)

参考文献 (11)

附录 (12)

摘要

EDA技术在进入21世纪后,得到了更大的发展。在仿真和设计两方面支持标准硬件描述语言的功能越来越强大,软硬件技术也进一步得到了融合,在电子行业的产业领域、技术领域和设计应用领域得到了进一步的肯定,使得复杂电子系统的设计和验证趋于简单化。[1]

本设计是通过Quartus Ⅱ软件、VHDL语言编程及FPGA芯片来实现常见的数字钟,该数字钟可以根据一个控制键能选择显示时、分、秒或年、月、日。本设计中用8位LED 数码管显示时、分和秒,年、月、日,同时可以通过按键调整时、分、及对秒进行清零。

关键词:Quartus Ⅱ;VHDL;数字钟;

Abstract

EDA technology in the 21 century has achieved great success. In the simulation and design two aspects of hardware description language support standard function more and more powerful, software and hardware technology also further got fusion, in the electronics industry industry field, technology and design application field has been further sure, making complex electronic system design and validation tend to be simple.[1]

The design is by Quartus Ⅱ software, VHDL language programming and CPLD chip to achieve a common digital clock, the digital clock according to a control keys can choose to display hours, minutes and seconds or year, month, day, and can display scroll off. This design using 6 LED digital tube display hours, minutes and seconds, but with the 8-bit LED digital tube display year, month, day, at the same time can be adjusted through the DIP switches hour, minute, year, month and day, and on the seconds to Clear.

Keywords:Quartus Ⅱ;VHDL;Digital Clock;

1 绪论

FPGA(现场可编程门阵列)和CPLD(复杂可编程逻辑器件)都是可编程逻辑器件,他们是在PAL,GAL等逻辑器件的基础上发展起来的。同以往的PAL,GAL相比较,FPGA/CPLD的规模比较大,它可以替代几十甚至几千块通用IC芯片。这样的FPGA/CPLD实际上就是一个子系统部件。比较典型的就是Altera公司和Xilinx公司的CPLD器件系列和FPGA器件系列,他们开发较早,占用了较大的PLD市场。[2]本设计是通过对数字钟个组要组成部分的VHDL源程序编程和顶层文件的生成来实现的。在本设计中数字钟的主要组成部分有拨码开关模块、按键修改模块,计数器模块、分频计模块、七段译码器模块和数据选择器模块。通过按键可以实现选择显示时、分、秒或年、月、日,同时可以显示翻屏,还可以通过按键调整时、分及对秒进行清零。

2 设计容及要求

2.1设计目的及主要任务

2.1.1设计目的

(1)初步掌握Quartus软件的使用方法,提高运用硬件描述语言VHDL的能力,初步了解时序电路的设计。

(2)利用对生活中熟悉的电子表,用语言设计相似功能的数字钟。

2.1.2 设计任务及要求

完成以下基本要求及进行提高:

(1)设计一个6位LED动态扫描显示的数字钟,根据一个控制键能选择显示时、分、秒或年、月、日;

(2)通过按键可以进行时、分、年、月、日的调整,可以实现翻屏;

(3)可以设置闹钟时间及整点报时,闹铃蜂鸣器频率为1000Hz,整点报时频率为2000Hz;

(4)具有定时翻屏功能,每隔54s,显示一次年月日;

(5)运用图形设计方法完成顶层原理图的设计。

(最新版)基于51单片机汇编语言的数字钟课程设计报告含有闹钟万毕业论文

单片微型计算机课程设计报告 多功能电子数字钟 姓 名 学

教师 许伟敏 电气二班 林卫

目录 一:概述 (1) 二:设计基本原理简介 (2) 三:设计要求及说明 (3) 四:整体设计方案 (4) 系统硬件电路设计 4 系统软件总流程设计模块划分及分析5 6 五:单模块流程设计 (8) 各模块设计概述、流程图模块源程序集合及注释8 13 六:单模块软件测试 (23) 七:系统检测调试 (24) 硬件电路调试 软件部分烧写调试 八:系统优化及拓展 (26) 九:心得体会 (28)

单片微型计算机课程设计 一、概述 基于汇编语言的电子数字钟概述 课程设计题目:电子数字钟 应用知识简介: ● 51 单片机 单片机又称单片微控制器,它不是完成某一个逻辑功能 的芯片,而是把一个计算机系统集成到一个芯片上。作为嵌 入式系统控制核心的单片机具有其体积小、功能全、性价比高等诸多优点。51 系列单片机是国内目前应用最广泛的单片机之一,随着嵌入式系统、片上系统等概念的提出和普遍接受及应用,51 系列单片机的发展又进入了一个新的阶段。在今后很长一段时间内51 系列单片机仍将占据嵌入式系统产品的中低端市场。 ●汇编语言 汇编语言是一种面向机器的计算机低级编程语言,通常是为特定的计算机或系列计算机专门设计的。汇编语言保持了机器语言的优点,具有直接和简捷的特点,其代码具有效率高实时性强等优点。但是对于复杂的运算或大型程序,用汇编语言编写将非常耗时。汇编语言可以与高级语言配合使用,应用十分广泛。 ● ISP ISP(In-System Programming)在系统可编程, 是当今流行的单片机编程模式,指电路板上的空白元器 件可以编程写入最终用户代码,而不需要从电路板上取 下元器件。已经编程的器件也可以用ISP方式擦除或再 编程。本次课程设计便使用ISP方式,直接将编写好的 程序下载到连接好的单片机中进行调试。 选题 系统功能分析 硬件电路设计 整体流程设计 及模块划分 模块流程设计 模块编 码测试 系统合成调 试编译 下载调试(含硬件电路调试及软件烧写调试) 验收 完成总结报告课程设计流程图↑ 选题目的及设计思想简介: 课程设计是一次难得的对所学的知识进行实践的机会,我希望通过课程设计独立设计一个简单的系统从而达到强化课本知识并灵活运用的目的。电子数字钟是日常生活钟随处可见的简单系统。对电子数字钟的设计比较容易联系实际并进行拓展,在设计中我将力求尽可能跳出课本的样板,从现实生活中寻找设计原型和设计思路,争取有所突破。 如图所示便是我本次课程设计流程图,设计的整个过程运用自顶向下分析、自底向上实现的

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

毕业设计论文-数字钟设计

数字钟设计 院系电子信息工程学院专业电子信息工程班级 1 姓名马梦珂

摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,已得到广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 数字钟就是由电子电路构成的计时器,是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应该该有校时功能和报时,整体清零等附加功能。主电路系统由秒信号发生器,时、分、秒计时器,译码器及显示器,校时电路,整体清零电路,整点报时电路组成。秒信号发生器是整个系统的时基信号,它直接决定计时系统的精度,一般用石英晶体振荡器加分频器来实现。秒信号产生器将标准信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,发出一个“时脉冲”信号,该信号将被送到“时计数器”,“时计数器”采用24进制计时器,可实现一天24小时的累计, 本课题利用了单片机的数码管和定时器相关知识,采用AT89C51单片机子控制核心,结合LED数码管实现时分秒的显示。硬件电路设计主要包括中央处理单元电路,键盘扫描电路。软件程序则采用VC语言实现。本设计实现了显示时间、调整时间等功能,达到了设计的目的和要求。并在Proteus软件上进行了仿真和调试。 关键词:计时器,计数,译码,校时,数字时钟,单片机,仿真调试

目录 摘要 ............................................................................................................... I 目录 ............................................................................................................ III 1 引言 ............................................................................ 错误!未定义书签。 1.1 研究背景及意义 (1) 1.2 国内外研究现状 (1) 2 硬件设计 (1) 2.1 元件组成 (1) 2.2 电路组成...................................................................... 错误!未定义书签。 3 软件设计 (4) 3.1 电路原理图设计 (6) 3.2 源程序 (7) 4 系统调试与实验 (11) 5 总结 (12) 参考文献 (12)

EDA综合课程设计_数字时钟设计一、题_001

EDA综合课程设计-数字时钟设计 一、题目要求 1、功能 1)具有时、分、秒计数显示功能,以24小时循环计时。 2)时钟计数显示时有LED灯的花样显示。 3)具有调节小时、分钟、秒及清零的功能。 4)具有整点报时功能。 2、总体方框图 3、性能指标及功能设计 1)时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分——60进制计数,即从0到59循环计数,时钟——24进制计数,即从0到23循环计数,并且在数码管上显示数值。 2)时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的键7和键4进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 3)清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。可以根据我们自己任意时间的复位。 4)蜂鸣器在整点时有报时信号产生,蜂鸣器报警。产生“滴答.滴答”的报警声音。 5)LED灯在时钟显示时有花样显示信号产生。即根据进位情况,LED不停的闪烁,从而产生“花样”信号。

根据总体方框图及各部分分配的功能可知,本系统可以由秒计数器、分钟计数器、小时计数器、整点报时、分的调整以及小时的调整和一个顶层文件构成。采用自顶向下的设计方法,子模块利用VHDL语言设计,顶层文件用原理图的设计方法。显示:小时采用24进制,而分钟均是采用6进制和10进制的组合。 数字时钟系统顶层原理图

多功能数字时钟的课程设计报告 1、本设计可以实现的功能 1)具有时、分、秒计数显示功能,以24小时循环计时。 2)时钟计数显示时有LED灯的花样显示。 3)具有调节小时、分钟及清零的功能。 4)具有整点报时功能。 2、初步设计的总体方框图 3、性能指标及功能设计 1)时钟计数:完成时、分、秒的正确计时并且显示所计的数字;对秒、分——60进制计数,即从0到59循环计数,时钟——24进制计数,即从0到23循环计数,并且在数码管上显示数值。 2)时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间,这样使数字钟真正具有使用功能。我们可以通过实验板上的K1-K7进行任意的调整,因为我们用的时钟信号均是1HZ的,所以每LED灯变化一次就来一个脉冲,即计数一次。 3)清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。

基于单片机的电子钟设计方案毕业论文。。.doc

基于单片机的电子时钟设计 摘要 20 世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 现代生活的人们越来越重视起了时间观念,可以说是时间和金钱划上了等号。对于那些对时间把握非常严格和准确的人或事来说,时间的不准确会带来非常大的麻烦,所以以数码管为显示器的时钟比指针式的时钟表现出了很大的优势。数码管显示的时间简单明了而且读数快、时间准确显示到秒。而机械式的依赖于晶体震荡器,可能会导致误差。 数字钟是采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。数字钟的精度、稳定度远远超过老式机械钟。在这次设计中,我们采用LED数码管显示时、分、秒,以24 小时计时方式,根据数码管动态显示原理来进行显示,用 12MHz的晶振产生振荡脉冲,定时 器计数。在此次设计中,电路具有显示时间的其本功能,还可以实现对时间的调整。数字 钟是其小巧,价格低廉,走时精度高,使用方便,功能多,便于集成化而受广大消费的喜爱,因此得到了广泛的使用。 关键字:数字电子钟单片机 数字电子钟的背景 20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各 个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产 品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。 目前,单片机正朝着高性能和多品种方向发展趋势将是进一步向着 CMOS化、低功耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。下面是单片机的主要发 展趋势。单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方 法。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

南京理工大学毕业论文模板

南京理工大学 毕业设计(论文)开题报告 学生姓名:学号: 专业: 设计(论文)题目: 指导教师: 年月日

毕业设计(论文)开题报告 1.结合毕业设计(论文)课题情况,根据所查阅的文献资料,每人撰写2000字左右的文献综述: 文献综述 时间对人们来说可说是越来越宝贵,在快节奏的生活中,人们往往忘记了时间,一旦遇到重要的事情而忘记了时间,这将会带来很大的损失。 时钟的数字化给人们带来了极大的方便。其广泛用于个人家庭、车站、码头、办公室等公共场所,已成为人们日常生活中不可少的必需品。与传统机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,节省了电能。 在众多时钟设计可选方案中,可以利用中小规模集成电路设计,可以利用专用的时钟芯片设计,也可以利用单片机进行设计,各有特点。其中,单片机凭借其体积小、重量轻、抗干扰能力强,以及灵活性、可靠性好和其很高的性价比这些优点已经渗入到人们工作和生活的各个角落,有力地推动了各行业的技术改造和产品的更新换代,前景广阔。而且数字钟又具备单片机最小系统的基本组成,对于我们了解单片机也有很大的帮助。 20世纪末,电子技术获得了飞速的发展,在其推动下,现在电子产品几乎渗透了社会的各个领域,有力的推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。随着现在社会的快速发展,国内外已有多种数字钟设计成果。其中使用单片机设计的数字钟功能强大,界面友好,更好的满足了人们对它的智能化要求。 利用VHDL硬件描述语言设计的多功能数字钟的思路和技巧。在MAX+PLUSII开发环境中编译和仿真了所设计的程序,并在可编程逻辑器件上下载验证。 (《基于VHDL的多功能数字钟的设计》樊永宁等工矿自动化 2006年 03期) 采用低成本数字集成电路LM8569及配套的LED(发光二极管)显示器组成的家用数字钟,采用双电源供电,具有电路简单、价格低廉、精确度高、性能可靠、功能多以及适用性强等特点。(《用LM8569制作数字钟》樊永宁等工矿自动化 2006年03期) 以AT89C51 为中心控制单元,采用汇编语言编程,设计一个用6位数码管显示时、分、秒的时钟。该时钟可实现时间显示、时间调整、闹钟设置、整点报时和省电等多种功能,具有制作简单、调整方便、稳定性好、便于扩展等特点。经实践制作、调试,证明设计可靠、方案可行。 (《基于AT89C2051的多功能时钟设计》刘文霞等《现代电子技术》2008 年第18 期总第281期)

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

基于单片机的数字钟的设计毕业论文

基于单片机的数字钟的设计毕业论文

永城职业学院 毕业论文 论文题目:基于单片机的数字钟的设计 专业:机电一体化 班级:机电134 学号:2013124025 学生姓名:孙洋洋 指导教师:李梦瑶 2015年10月 16 日

目录 1、设计总体方案 (3) 1.1电子钟的工作原理 (3) 2、主要器件介绍及功能实现 (4) 2.1 AT89C52单片机 (4) 2.2 1602LCD液晶显示 (7) 2.3 计时功能的实现 (9) 2.3.1定时方法 (9) 2.3.2 定时/计数器的定时和计数功能 (10) 3、硬件电路 (10) 3.1上电复位电路 (10) 3.2时钟系统 (11) 3.3按键电路 (11) 3.4电子时钟原理图 (12) 3.5 硬件调试 (12) 4、软件设计 (13) 4.1 程序流程图 (13) 4.1.1编辑软件(Keil uVision2) (14) 4.2生成代码文件 (14) 4.3 软件调试 (15) 4.3.1程序的仿真Proteue ISIS软件: (15) 4.3.2 具体步骤: (16) 5.结论 (17) 6.参考文献 (18)

摘要 该文主要论述如何使用89S51型号的单片机来设计一个数字电子时钟。 该设计是利用单片机原理,以AT89S51芯片为主要控制器。通过用Keil C51软件编程仿真,再通过protues软件进行硬件仿真,设计制作一个多功能数字电子时钟的硬件电路。其中通过单片机扩展的1602LCD显示器用来显示秒、分、时计数单元中的值。整个设计过程包括两大部分:软件部分和硬件部分。以单片机AT89S51芯片为核心,加上一定的外围电路、1602LCD显示器和键盘控制器组成。 该电子时钟系统主要由时钟模块、液晶显示模块以及键盘控制模块。液晶显示模块能够准确显示时间(显示格式为时:分:秒(24小时制)),键盘控制模块可方便进行时间调整,时钟模块主要控制时间的显示由二十四进制电路与六十进制电路组成。电路的设计以硬件和软件为指导思想,通过软件编程来实现模拟电路的设计。 用单片机AT89S51为主要功能模块,采用1602LCD输出显示时间,用按钮做开关,软件计时、调试,辅助必要的电路,实现高效、准确的数字电子时钟系统。该数字电子时钟具有电路简单明了,系统稳定性高等优势,,成本低,维护方便、调试简便、具有广泛的市场前景。 关键词:单片机、AT89S5芯片、1602LCD、动态扫描

推荐-基于多功能数字钟的课程设计报告 精品

EDA技术课程设计 多功能数字钟 学院:城市学院 专业、班级: 姓名: 指导老师: 20XX年12月

目录 1、设计任务与要求 (2) 2、总体框图 (2) 3、选择器件 (2) 4、功能模块 (3) (1)时钟记数模块 (3) (2)整点报时驱动信号产生模块 (6) (3)八段共阴扫描数码管的片选驱动信号输出模块 (7) (4)驱动八段字形译码输出模块 (8) (5)高3位数和低4位数并置输出模块 (9) 5、总体设计电路图 (10) (1)仿真图 (10) (2)电路图 (10) 6、设计心得体会 (11)

一、设计任务与要求 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时输出喇叭有音乐响起。 二、总体框图 多功能数字钟总体框图如下图所示。它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。 系统总体框图 三、选择器件 网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、 seltime(驱动4位八段共阴扫描数码管的片选 驱动信号输出模块)、deled(驱动八段字形译 码输出模块)。

四、功能模块 多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。 (1) 时钟记数模块: <1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。 VHDL程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24 is port( clk: in std_logic; reset:instd_logic; qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end hour24; architecture behav of hour24 is begin process(reset,clk) begin if reset='1' then qh<="000"; ql<="0000"; elsif(clk'event and clk='1') then if (qh<2) then if (ql=9) then ql<="0000"; qh<=qh + 1; else ql<=ql+1; end if; else if (ql=3) then ql<="0000"; qh<="000"; else ql<=ql+1; end if; end if; end if; end process; end behav; 仿真波形如下:

EDA数字时钟课程设计报告

EDA技术及应用课程设计说明书 2013 届电子信息工程专业班级 题目数字时钟 学号 姓名 指导教师 二О一五年月日

一、基本原理 一个完整的时钟应由三部分组成:秒脉冲发生电路、计数显示部分和时钟调整部分。 秒脉冲发生电路原理:一个时钟的准确与否主要取决于秒脉冲的精确度。为了保证计时准确我们对系统时钟48MHz进行了48000000分频,从而得到1Hz的秒脉冲。 计数显示部分原理:显示部分是用数码管LED实现的,这里使用的是共阳极的数码管如图所示8个数码管,其中左边两个数码管用来显示时的个位和十位、中间的显示分的个位和十位、最右边两个显示分的个位和十位。 时钟调整部分原理:校时电路里定义key[0]、key[1]和k2、k3分别用于控制时钟的计时开始、清零和调整功能中的时的加1、分的加1处理,从而完成对现在的时间调整。本实验电路校时电路在此完成了暂停、清零、时调整和分调整。

二、硬件设计 芯片图: 图1 数字时钟原理图 程序的调试工作都是在电脑上完成的,通过程序的输入、原理图的建立、管脚分配、编译、仿真、再下载到芯片进行运行。

电路中采用共阳极连接的七段数码管,通过程序的控制扫描驱动来显示时钟的时-分-秒。

程序中的按键设定为K1暂停、K2清零、K3调时、K4调分元件清单: 三、数字时钟的Verilog实现 管脚的分配: 程序: module clock(clk,s1,,s2,key,dig,seg); //模块名clock input clk,s1,s2; //输入时钟 input[1:0]key; //输入按键 output[7:0]dig; //数码管选择输出引脚

毕业论文设计EDA课设数字钟

课程设计任务书 学生:董勇涛专业班级:电子科学与技术0902班 指导教师:梁小宇工作单位:信息工程学院 题目: 数字钟 设计目的: 1、掌握在QuartusⅡ软件的使用方法,并能熟练的在QuartusⅡ环境中运用VHDL 语言完成一些简单程序的设计; 2、掌握数字钟的主要功能与在FPGA中的实现方法。 要求完成的主要任务: 1、课程设计工作量:1周。 2、技术要求: (1)设计一个6位LED动态扫描显示的数字钟,根据一个控制键能选择显示时、分、秒或年、月、日; (2)通过拨码开关可以进行时、分、年、月、日的调整,可以实现翻屏; 3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。 全文用A4纸打印,图纸应符合绘图规。 时间安排: 1、2012 年6 月11日集中,作课设具体实施计划与课程设计报告格式的要求说 明。 2、2012 年6 月12日至2012 年6 月15日查阅相关资料,学习电路的工作 原理。 3、2012 年6 月17 日至2012 年 6 月19 日,方案选择和电路设计。 4、2012 年6 月20 日至2012 年 6 月21 日,电路调试和设计说明书撰写。 5、2011 年6 月22日上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日

系主任(或责任教师)签名:年月日 目录 摘要............................................................................................................... I Abstract ......................................................................................................... II 1 绪论 (1) 2 设计容及要求 (1) 2.1设计目的及主要任务 (1) 2.1.1设计目的 (1) 2.1.2 设计任务及要求 (1) 2.2设计思想 (2) 3 数字钟的设计 (4) 3.1 设计原理与方法 (4) 3.2 单元模块设计 (4) 3.2.1 分频计模块设计 (4) 3.2.2 消抖电路模块设计 (5) 3.2.3 计数器模块设计 (5) 3.2.4 闹钟及蜂鸣器设计 (7) 3.2.5 多路复用器模块设计 (8) 3.2.6 八段译码模块设计 (8) 3.3 数字钟设计总原理图 (8) 4 编译报告 (8) 4.1 设计原理与方法 (8)

数字钟万年历毕业设计(论文)

毕业设计(论文) 基于单片机的多功能数字钟万年历设计

目录 第一章绪论 (1) 1.1 课题研究的背景和意义 (1) 1.2 国内外研究情况 (1) 1.3 设计思路与步骤 (2) 1.3.1 设计思路 (2) 1.3.2 主要步骤 (2) 第二章系统设计方案 (3) 2.1 总体设计方案 (3) 2.2 系统工作原理 (4) 2.3 预期功能 (4) 第三章基于单片机数字电子钟的硬件设计 (5) 3.1 STC90C51单片机的简介 (5) 3.1.1 STC90C51的硬件结构 (5) 3.1.2 STC90C51主要性能参数 (6) 3.1.3 STC90C51单片机适用领域 (6) 3.2 STC90C51 硬件结构 (7) 3.2.1 运算器电路 (7) 3.2.2控制器电路 (7) 3.2.3 内部存储器 (8) 3.2.4 单片机中断系统 (8) 3.2.5 时钟电路 (8) 3.2.6 并行串行I/O口 (9) 3.2.7 STC90C51单片机引脚图 (9) 3.2.8 总线 (10) 3.3系统模块介绍 (10) 3.3.1 开发板模块 (10) 3.3.2 单片机最小系统设计 (11) 3.3.3 DS1302时钟电路 (11) 3.3.4 温度采集系统电路 (12) 3.3.5 键盘控制系统设计 (12) 3.3.6 报警电路设计 (13) 3.3.7 12864液晶显示电路设计 (13) 第四章数字电子钟的软件设计 (16) 4.1 系统的流程 (16) 4.2 测温的流程 (17) 4.3 LCD显示流程 (20) 4.4 时钟的设计流程 (23) 第五章调试及结果分析 (28) 5.1硬件调试 (28) 5.2系统性能测试与功能说明 (28) 5.3软件调试问题及解决 (28) 结论 (30)

EDA课程设计报告(电子钟VHDL 设计)

EDA课程设计报告(电子钟VHDL 设计) 作者:dang168 时间:2008-10-05 E D A课程设计报告 -----电子钟VHDL 设计 一设计要求 设计一个电子钟,要求可以显示时、分、秒,用户可以设置时间. 二.实验目的 1. 掌握多位计数器相连的设计方法。 2. 掌握十六进制,二十四进制,六十进制计数器的设计方法。 3. 掌握CPLD技术的层次化设计方法。 4. 了解软件的元件管理含义以及模块元件之间的连接概念。 5. 掌握电子电路一般的设计方法,并了解电子产品的研制开发过程,基本掌握电子电路安装和调试的方法。 6. 培养独立分析问题,解决问题的能力。 三.硬件要求 1.8位8段扫描共阴极数码显示管。 2. 三个按键开关(清零,调小时,调分钟)。 四.设计原理 数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数

器”。“时计数器”采用24进制计数器,可实现对一天24小时的累计。译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。通过六位LED七段显示器显示出来。校时电路器是用来 对“时”“分”“秒”显示数字进行校时调整的。 在同一CPLD芯片口集成如下电路模块: 1.电子钟计数采用层次化设计,将设计任务分成若干个模块。规定每一模块的功能和各模块之间的接口。 (1)second(秒) 60进制BCD码计数 (2)minute(分) 60进制BCD码计数 (3)hour (时) 24进制BCD码计数 (4)clock top 顶层设计 同时整个计数器有清零,调时,调分功能。 2.端口引脚名称  输入 clk,reset,setmin,sethour  输出 second—daout,minute-daout,hour-daout 五.设计原理图 逻辑功能图: 输入:CLK—时钟脉冲,RESET—复位信号,SETMIN—分加1信号,SETHOUR—秒加1信号 输出:SECOND_DAOUT—秒输出,MINUTE_DAOUT—分输出,

基于单片机的电子时钟设计毕业答辩论文

基于单片机的电子时钟设计毕业答辩论文

本科毕业论文 TH714 The Design of Electronic Clock Based on MCU 分类学密 10722 公开 题 目 (中、 基于单片机的电子时钟设计 学校

作者姓名 指导教师学科门类提交论文日期专业名称成绩评定电气工程及其自动化 郝海燕 工科 二〇一三年五月

单片机是一种数字集成电路芯片,是指集成在一块芯片上的微型计算机。一个比较完整的单片机应该包括微处理器(CPU)、存储器(RAM和ROM)、并行输入/输出接口(I/O接口)电路、可编程全双工串行口、定时/计数器、中断系统以及复位电路。由于它具有小巧灵活,成本低,可靠性好,控制功能强,易扩展等一系列优点,所以被越来越广泛地应用于各个领域。而51系列的单片机就是最为典型和具有代表性的一种单片机。 本设计是基于单片机的简单电子时钟的设计,系统主要以单片机AT89C51为核心,结合按键模块来显示相应的时间,并可以对时、分、秒进行单独校对,使其达到标准时间。本次设计以软件控制硬件以及软硬件结合为指导思想,充分发挥单片机功能。同时,该系统的诸多优点使其具有很强的实用性,由于该系统实现的功能简单,因此具有很好的可扩展性。 关键词: AT89C51;电子时钟;按键控制

That the microcontroller is integrated on a single chip microcomputer is a digital integrated circuit chip. Should be a more complete include single-chip microprocessor (CPU), memory (RAM and ROM), parallel input / output interface (I / O interface) circuit, a programmable full-duplex serial port, timer / counter, interrupt system, and reset circuit. Because of its compact and flexible, low cost, reliability, and control functions, easy to expand a series of advantages, it is more and more widely used in various fields. The 51 series is the most typical and representative of a single-chip microcontroller. The project is the design of simple electronic clock based on microcontroller. It is as the core of AT89C51 microcontroller, with key module to display relative time and make hour, minutes, seconds separate proofreading to make it up to standard time. The design control hardware and software combination of hardware and software as the guiding ideology, give full play to the microcontroller features. Meanwhile, the system has so many advantages that it has a strong practicability, because of its simple function, and therefore it has good scalability. Key words: AT89C51 ; Electronic clock ;Key control

EDA数字钟课程设计

课程设计报告 设计题目:用VHDL语言实现数字钟的设计 班级:电子1002班 学号:20102625 姓名:于晓 指导教师:李世平、李宁 设计时间:2012年12月

摘要 数字钟是一种用数字电路技术实现时、分、秒计时的钟表。本设计主要是实现数字钟的功能,程序用VHDL语言编写,整体采用TOP-TO-DOWN设计思路,具有基本的显示年月日时分秒和星期的功能,此外还有整点报时功能。该数字钟的实现程序分为顶层模块、年月模块、日模块、时分秒定时模块、数码管显示模块、分频模块、星期模块,此外还有一个库。该程序主要是用了元件例化的方法,此外还有进程等重要语句。 没有脉冲时,显示时分秒,set按钮产生第一个脉冲时,显示年月日,第2个脉冲到来时可预置年份,第3个脉冲到来时可预置月份,依次第4、5、6、7、8个脉冲到来时分别可预置日期、时、分、秒、星期,第 9个脉冲到来时设置星期后预置结束,正常工作,显示的是时分秒和星期。调整设置通过Up来控制,UP为高电平,upclk有脉冲到达时,预置位加1,否则减1。当整点到达时,报时器会鸣响,然后手动按键停止报时。 关键词:数字钟,VHDL,元件例化,数码管

1、课程设计目的 掌握利用可编程逻辑器件和EDA设计工具进行电子系统设计的方法 2、课程设计内容及要求 设计实现一个具有带预置数的数字钟,具有显示年月日时分秒的功能。用6个数码管显示时分秒,set按钮产生第一个脉冲时,显示切换年月日,第2个脉冲到来时可预置年份,第3个脉冲到来时可预置月份,依次第4、5、6、7个脉冲到来时分别可预置日期、时、分、秒,第 8个脉冲到来后预置结束,正常工作,显示的是时分秒。Up为高电平时,upclk有脉冲到达时,预置位加1.否则减1,还可以在此基础上增加其它功能。 3、VHDL程序设计 3.1整体设计思路 本设计采用top-down 模式设计,分模块进行,各功能都使用元件例化方式设计,主要有LED显示模块、时分秒定时模块、日期模块、年月模块、分频模块、星期模块,此外还创建了一个程序包,用来实现年月日、时分秒的加减调整。主要运用了过程语句、元件例化语句、信号赋值语句、和顺序语句

EDA数字钟设计-毕业论文

EDA数字钟设计

目录 1.设计思路 (3) 1.1总体结构 (3) 2.方案论证与选择 (3) 2.1.数字钟方案论证与选择 (3) 3.单元模块设计部分 (3) https://www.doczj.com/doc/7e7106161.html,6模块的设计 (3) 3.2.SEL61模块的设计 (4) 3.3.DISP模块的设计 (5) 3.4.K4模块的设计 (6) https://www.doczj.com/doc/7e7106161.html,T10模块的设计 (6) https://www.doczj.com/doc/7e7106161.html,T6模块的设计 (7) https://www.doczj.com/doc/7e7106161.html,T101模块的设计 (8) https://www.doczj.com/doc/7e7106161.html,T61模块的设计 (9) 3.4.5 CNT23模块的设计 (10) 4.系统仿真 (11) 4.1.数字钟仿真图 (11) 4.2.数字钟编译报告 (12) 4.3.数字钟原理图 (12)

vEDA数字钟设计 中文摘要:数字钟学习的目的是掌握各类计数器及它们相连的设计方法;掌握多个数码管显示的原理与方法;掌握FPGA技术的层次化设计方法;掌握用VHDL语言的设计思想以及整个数字系统的设计。此数字钟具有时,分,秒计数显示功能,以24小时为计数循环;能实现清零,调节小时,分钟以及整点报时的功能。 关键词:数字钟,计数器,数码管,FPGA,VHDL 1.设计思路 基于VHDL语言,用Top_Down的思想进行设计。 1.1 确定总体结构,如图1-1所示。 图1-1 2. 方案论证与选择 2.1 数字钟方案论证与选择:方案一是用CN6无进位六进制计数器选择数码管的亮灭以及对应的数,循环扫描显示,用SEL61六选一选择器选择给定的信号输出对应的数送到七段码译码器。K4模块进行复位,设置小时和分,输出整点报时信号和时,分,秒信号。作品中选方案二。方案二也采用自顶向下的设计方法,它由秒计数模块,分计数模块,小时计数模块,报警模块,秒分时设置模块和译码模块六部分组成。两者设计方式,功能实现方面都差不多,作品中选择的是方案一。 3. 单元模块设计部分 单元模块设计部分分四个部分,介绍数字钟选择显示数码管和对应的数模块CN6,信号选择模块SEL61,七段码译码器模块DISP和复位,秒,分,时显示,设置模块。 3.1 CN6模块的设计 即无进位的六进制计数器,由此提供选择信号,可提供选择信号,选择显示的数码管及对应的数,循环扫描显示。如图1-2

相关主题
文本预览
相关文档 最新文档