当前位置:文档之家› 组合逻辑电路

组合逻辑电路

组合逻辑电路
组合逻辑电路

第五章组合逻辑电路

内容提要

【熟悉】组合逻辑电路的特点(功能、结构)

【掌握】组合逻辑电路的一般分析方法和设计方法

【熟悉】常见的五种组合逻辑电路

【掌握】中规模集成组合逻辑电路的应用(扩展与实现组合逻辑函数) 【了解】组合逻辑电路中的竞争和险象

一.一.网上导学

二.二.本章小结

三.三.典型例题

四.四.习题答案

网上导学

一. 一.组合逻辑电路的特点:p123

功能:输出仅取决于该时刻的输入而与电路原状态无关(无记忆功能);

结构(无记忆元件,无反馈环路).

二. 二.组合逻辑电路的一般分析方法(组合逻辑电路图→求解逻

辑功能):

组合逻辑电路图→列出逻辑函数表达式(迭代法,由输入逐级向后推) →求标准表达式或简化的表达式(转换或化简) →列出相应的真

值表→判断电路功能。例5.2.1(异或门) P124

分析图5.3.3逻辑电路

1.1.迭代法求输出逻辑表达式,如图:

图中,C=B

A ,D=AB,用迭代法求出电路输出逻辑表达式

F=

2.列出真值表(表5.2.1, P125)

分析真值表可知该电路是一个异或门

例2. 试分析下面电路

1.由上图可知E=AB,D=AC,G=BC,迭代法得F=E+D+G=AB+AC+BC

2. 列出相应的真值表

由真值表可以看出,该逻辑电路是一个三人多数表决电路。 三. 三. 组合逻辑电路的一般设计方法:

根据设计要求(要实现的逻辑功能)→画出逻辑电路图.

设计要求→列出真值表(确定输入、输出变量及它们的逻辑关系) →化简写出简化的逻辑表达式(→或转换成逻辑器件所需的表达形式)→画出逻辑图。 例5.3.1(多数表决器) P125。

举例:设计一个一位加法器(半加器)电路.

1. 1. 该电路有两个输入An 、Bn 和二个输出Sn 和Cn, An Bn Sn Cn 0 0 0 0 0 1 1 0 1 0 1 0 1

1

1

Sn=Bn An Bn An Bn An ⊕=+,Cn=An*Bn 3. 3. 画出逻辑图

四.组合逻辑电路中的竞争和险象:P126~P129

竞争:因门电路的传输时延而造成多路信号由于经过不同路径产生的时差现象;险象:由竞争产生的错误输出;检查(产生条件:输入

存在互补变化;消除:添加冗余项.

竞争(B=0)

*消除方法:参考例5.4.3(P128)

四. 四.常见的五种组合逻辑电路:p129-p141

着重于其功能和输出与输入的对应逻辑关系.

1.1.编码:将输入信号转换成对应的数码信号;

编码器:互斥输入,方块图、逻辑图P130

功能表见表5.5.1(P129)

优先编码,方块图、逻辑图、功能表P131;

2.2.译码:将输入的码组翻译变换成对应的输出信号,是编码的

逆过程;

译码器:二进制译码器, 方块图、逻辑图;

功能表见表5.5.3(P133)

数字显示译码器:

功能表见表5.5.5(P133)

七段显示十进制数字

十进制数字显示p133;十进制数码显示

3.多路选择器:又叫数据选择器,在地址输入端的控制下从多路数据输入中选择一个送到公共输出端.方块图,逻辑图,功能表P134;

由功能表可以写出其输出表达式: Y=301201101001)()()()(D A A D A A D A A D A A +++

4选1多路选择器两种电路

4.数值比较器:比较两个二进制数的大小。P135-137

一位二进制数比较器

二位二进制数值比较器

4.4.加法器:实现二进制数加法运算

全加器,逐位进位加法器,超前加法器。P137-141

半加器,

全加器逻辑图, (全加器真值表见表5.5.8 P138)

逐位进位加法器 (电路简单,连接方便,但运算速度慢) ,超前进位形成电路 (运算速度快,但电路复杂)

三位二进制超前进位加法器

五. 五.中规模集成组合逻辑电路及应用:应用着重于扩展(分级

扩展和级联扩展)和实现组合逻辑函数(重点多路选择器和译码器)。

1.1.中规模集成译码器74139:2线-4线译码器,功能表、逻辑

图 P142

74154 :4线-16线译码器,功能表、逻辑图, P142-143

分级扩展:图5.6.3,利用允许端用一片74139和四片74154扩展为6

线-64线译码器, P145

级联扩展(补充):用二片74139实现3线-8线译码器,参考典型例题;

2.2.中规模集成多路选择器74153:双4选1,功能表 P144;

分级扩展:图5.6.5,用五片74153扩展为双16选1, P147;

级联扩展(补充):用74153实现8选1,参考典型例题;

实现组合逻辑函数:例5.6.1,用8选1和4选1实现三变量函数p145-147,

用8选1;用4选1〔注:本书利用对比真值表的方法欠简单明嘹,可用多路选择器的输出表达式和逻辑函数表达式对比的方法, 参考典型例题〕; 解:由表5.6.4得 F’=∑m(2,3,5,6)=C AB C B A BC A C B A +++,与多路选择器比较:

F=76543210ABCD ABCD ABCD ABCD ABCD ABCD ABCD ABCD +++++++

F=3210ABD D B A BD A D B A +++,先确定地址输入变量,再确定数据输入变量,得

设计四人多数表决电路

3.3. *中规模集成数值比较器;级联扩展:图5.6.9,串行、

并行比较,p151

(a)串行比较(b)并行比较

4.4. *中规模集成加法器;四位二进制加法器扩展为十六位

二进制加法器

5.5. *中规模集成优先编码器。8线-3线优先编码器74148

功能表

级联扩展:

本章小结

组合逻辑电路是最常见的逻辑电路,其特点是电路的输出仅与该时刻输入的逻辑值有关,而与电路曾输入过什么逻辑值无关。组合逻辑电路中没有反馈回路, 没有记忆功能。

组合逻辑电路的分析较简单,目的是由逻辑图求出对应的真值表。组合逻辑电路的设

计是分析的逆过程,目的是由给定的任务列出真值表,直至画出逻辑图。

竞争和险象是实际工作中经常遇到的重要问题,它们是由器件的延时造成的。组合逻辑电路的险象是过渡性的,不会影响稳定值的正确性。

本章着重讨论了几种常见的组合逻辑电路:编码器、译码器、多路选择器、数值比较器和加法器。介绍了这些电路的功能、工作原理和应用。并给出了一些典型的、中规模集成的组合逻辑电路。通过上述电路的讨论,进一步学习组合逻辑电路的分析和设计方法。 重点、难点:

重点:组合逻辑电路的特点,一般分析方法及设计方法; 常见组合逻辑电路的功能及输入、输出对应关系;

中规模集成组合逻辑电路的扩展和实现组合逻辑函数(多路选择器);

难点:组合逻辑电路的设计。

典型例题(注:2000.1~2001.7试题) 填空题:

1. 数值比较器是指能判别两个或多个二进制数_____或是否____的电路。(大小,相等)

6. 6. 数据选择器是指能按需要从__________中选择一个送到输出端的电路。 (几个数据输入源)

7. 7. 组合电路没有_____功能,它由_______组成。(记忆,门电路)

8. 8. 在组合逻辑电路中,______反馈电路构成的环路。(没有) 9. 9. 当_____编码器的几个输入端同时出现有效信号时,其输出端给出优先权较高的输入信号的代码。(优先) 10. 10. 一个全加器电路,若输入端为An,Bn 和Cn-1,则其加高位的进位端Cn 逻辑表达式为:__________________________________。(Cn=(Bn An Bn An )Cn-1+AnBn,或

Cn=BnC An n-1+C Bn An n-1+C AnBn n-1+AnBnCn-1) 选择题:

1. 1. 在以下各种电路中,属于组合电路的有_______。(A,D) A.编码器 B.触发器 C.寄存器 D.数据选择器

2. 2. 组合逻辑电路的设计是指____________。(A)

A. A. 已知逻辑要求,求解逻辑表达式并画逻辑图的过程

B. B. 已知逻辑要求,列真值表的过程

C. C. 已知逻辑图,求解逻辑功能的过程

3. 3. 在大多数情况下,对于译码器而言_________。(A) A. A. 其输入端数目少于输出端数目 B. B. 其输入端数目多于输出端数目

C. C. 其输入端数目与输出端数目几乎相同

4. 4. 组合逻辑电路中的竞争险象_________________________。(A)

A. A. 一般可通过增加逻辑函数中的冗余项耒消除

B. B. “非临界竞争”会造成错误逻辑输出结果

C. C. 静态险象会影响输出的稳态值 简答题:

1. 1. 简述组合电路的特点。

组合电路的输出仅取决于该时刻电路输入状态的组合,而与电路原来的状态无关。

2. 2. 简述对组合逻辑电路分析的一般步骤(说出“真值表”、“逻辑电路图” 、“电路用途” 、“逻辑表达式”等这几个概念的先后顺序及联系)。

根据“逻辑电路图”求得“逻辑表达式”,再由“逻辑表达式”列出“真值表”,最后根据“真值表”说出“电路用途”。

分析、设计及计算题:

1. 1. 分析下图电路,写出输出Y 的表达式,说明电路功能。

,,,,013012011010A SA Y A SA Y A A S Y A A S Y ====电路功能为2线一4线译

码器。

2. 2. 分析下图电路,写出输出Y 的表达式,说明电路功能。

,,,321B A Y AB B A B A B A Y B A Y =+=+==电路功能为一位数值比较器。

3. 3. 用3线一8线译码器T4138组成的一位全加器实验电路示意图如下图所示,接通电源后,电路并未正常工作,检查电路的错误,画出正确的连线图(文字说明也可)。

该实验电路示意图中,译码器的输入接逻辑开关,输出Si 和Ci 接发光二极管以及它们的逻辑关系,Vcc 和地接电源均是正确的, 电路的唯一错误是3线一8线译码器T4138的允许控制端321,,S S S 没有接电压, 译码器不能正常工作。正确连接是:1S 应接到高电平(“1”),32,S S 应接到低电平地(“0”)。

4. 4. 试用双四选一数据选择器CC14529实现八选一数据选择器功能(地址端信号A2A1A0,数据输入端信号D7~D0)。CC14529功能表达式见下式,外部引线排列见下图。

电路连接如下图:

5.5.对下图所示电路,写出逻辑函数G,E,S的逻辑表达式。

G+

=

A

+

AB

A

=

,。一位数值北较=,

=

=

=

B

S

A

A

B

AB

B

B

AB

B

A

B

E

A

6.6.2线一4线译码器74139的功能表及器件管脚排列图如下

所示。

(1)(1)用两片74139级联,扩展成一个3线一8线译码器

(允许添加必要的门电路);

(2)(2)当输入信号D2D1D0为(101)2=(5)10时,输出

Y7Y6Y5Y4Y3Y2Y1Y0为何值?

电路连接如下图:

当输入信号D2D1D0为(101)2=(5)10时,输出

Y7Y6Y5Y4Y3Y2Y1Y0为11011111。

7.7.试用下图所示的两片7485型四位比较器构成一个八位数

的串行比较器。器件(2)为高四位比较,画出有关的连线图。

组合逻辑电路的分析

组合逻辑电路的分析(大题)一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。

5.评价电路性能。三.思路总结: 组合逻辑 电路逻辑表达式最简表达式真值表逻辑功能化简 变换 四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。

3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。 五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z1、Z2、Z3和Y的逻辑表达式为:

第五章组合逻辑电路典型例题分析

第五章 组合逻辑电路典型例题分析 第一部分:例题剖析 例1.求以下电路的输出表达式: 解: 例2.由3线-8线译码器T4138构成的电路如图所示,请写出输出函数式. 解: Y = AC BC ABC = AC +BC + ABC = C(AB) +CAB = C (AB) T4138的功能表 & & Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7 “1” T4138 A B C A 2A 1A 0Ya Yb S 1 S 2 S 30 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 S 1S 2S 31 0 01 0 01 0 01 0 01 0 01 0 01 0 01 0 0 A 2A 1A 0Y 0Y 1Y 2Y 3Y 4Y 5Y 6Y 70 1 1 1 1 1 1 11 0 1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 0

例3.分析如图电路,写出输出函数Z的表达式。CC4512为八选一数据选择器。 解: 例4.某组合逻辑电路的真值表如下,试用最少数目的反相器和与非门实现电路。(表中未出现的输入变量状态组合可作为约束项) CC4512的功能表 A ? DIS INH 2A 1A 0Y 1 ?0 1 0 0 0 00 00 00 0 0 0 0 00 0 ?????0 0 00 0 10 1 00 1 11 0 0 1 0 11 1 01 1 1 高阻态  0D 0D 1D 2D 3D 4D 5D 6D 7 Z CC4512 A 0A 1A 2 D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 DIS INH D 1 D A B C D Y 0 0 0 0 1 0 0 0 1 00 0 1 0 10 0 1 1 00 1 0 0 0 CD AB 00 01 11 1000 1 0 0 101 0 1 0 1 11 × × × ×10 0 1 × × A B 第一步画卡诺图第三步画逻辑电路图

第六章-几种常用的组合逻辑电路试题及答案

第六章几种常用的组合逻辑电路 一、填空题 1、(8-1易)组合逻辑电路的特点是:电路在任一时刻输出信号稳态值由决定(a、该时刻电路输入信号;b、信号输入前电路原状态),与无关(a、该时刻电路输入信号;b、信号输入前电路原状态),属于(a、有;b、非)记忆逻辑电路。 2、(8-2易)在数字系统中,将具有某些信息的符号变换成若干位进制代码表示,并赋予每一组代码特定的含义,这个过程叫做,能实现这种 功能的电路称为编码器。一般编码器有n个输入端,m个输出端,若输入低电平有效,则在任意时刻,只有个输入端为0,个输入端为1。对于优先编码器,当输入有多个低电平时,则。 3、(8-3易,中)译码是的逆过程,它将转换成。译码器有多个输入和多个输出端,每输入一组二进制代码,只有个输出端有效。n 个输入端最多可有个输出端。 4、(8-2易)74LS148是一个典型的优先编码器,该电路有个输入端和个输出端,因此,又称为优先编码器。 5、(8-4中)使用共阴接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器;使用共阳接法的LED数码管时,“共”端应接,a~g应接输出有效的显示译码器,这样才能显示0~9十个数字。 6、(8-4中)译码显示电路由显示译码器、和组成。 7.(8-4易)译码器分成___________和___________两大类。 8.(8-4中)常用数字显示器有_________,_________________,____________等。 9.(8-4中)荧光数码管工作电压_______,驱动电流______,体积_____,字形清晰美观,稳定可靠,但电源功率消耗______,且机械强度_____。 10.(8-4中)辉光数码管管内充满了_________,当它们被______时,管子就发出辉光。 11.(8-4易)半导体发光二极管数码管(LED)可分成_______,_______两种接法。 12.(8-4中)发光二极管正向工作电压一般为__________。为了防止二极管过电流而损坏,使用时在每个二极管支路中应______________。 13.(8-3中)单片机系统中,片内存储容量不足需要外接存储器芯片时,可用_________作高位地址码。 14.(8-3中)数字系统中要求有一个输入端,多个数据输出端,可用_________输入端作为

第5章 典型组合逻辑电路

I I 4 5 7 I 1 6 2 I I I 0 3 I I I 9 8 I 图 P5.7 图P5.8 第5章 组合逻辑电路应用 习题5 5.1 设计一个10线-4线编码器,输出为8421BCD 码。 5.2 试用2片8线-3线优先编码器74148,设计一个10线-4线优先编码器。连接时允许附加必要的门电路。 5.3 试分析图P5.3所示电路的功能(74148为8线-3线优先编码器)。 5.4 分析图P5.4所示电路的功能。 5.5 用2片3线-8线译码器74138,组成4线-16线译码器。 5.6 某一个8421BCD 码七段荧光数码管译码电路的e 段部分出了故障,为使数码管能正确地显示0~9十种状态,现要求单独设计一个用与非门组成的e 段译码器。已知共阳极数码管如图P5.6所示。 5.7 分析图P5.7所示电路的功能(74148为8线-3线优先编码器)。 5.8 画出用两片4线-16线译码器74154组成5线-32译码器的接线图。图P5.8是74154的符号,S A 和S B 是两个控制端(亦称片选端),译码器工作时应使S A 和S B 同时为低电平,当输入信号A 3A 2A 1A 0为0000到1111共16种状态时,输出端从Y 0到Y 15依次给出低电平输出信号。 图P5. 4 图P5.6 图P5.3

5.9 设计一个编码转换器,将三位2进制码转换为循环码。 5.10 某医院的某层有6个病房和一个大夫值班室,每个病房有一个按扭,在大夫值班室有一个优先编码器电路,该电路可以用数码管显示病房的编码。各个房间按病人病情严重程度不同分类,1号房间病人病情最重,病情按房间号依次降低,6号房间病情最轻。试设计一个呼叫装置,该装置按病人的病情严重程度呼叫大夫,若两个或两个以上的病人同时呼叫大夫,则只显示病情最重病人的呼叫。 5.11 设计一个电话机信号控制电路。电路有I 0(火警)、I 1(盗警)和I 2(日常业务)三种输入信号,通过排队电路分别从Y 0、Y 1、Y 2输出,在同一时间只能有一个信号通过。如果同时有两个以上信号出现时,应首先接通火警信号,其次为盗警信号,最后是日常业务信号。试按照上述轻重缓急设计该信号控制电路。要求用集成门电路7400(每片含4个2输入端与非门)实现。 5.12 试用一片3线-8线译码器T3138,实现下列逻辑函数(可使用必要的门电路): (1)B A L =1 (2)B A AB L +=2 (3)C B A L ⊕⊕=3 5.13 用4路数据选择器实现下列函数: (1) ∑=)5,4,2,0(),,(1m C B A L (2) ∑= )7,5,3,1(),,(2m C B A L (3)∑=)7,5,2,0(),,(3m C B A L (4)∏= )3,2,0(),,(4M C B A L 5.14 用8路数据选择器实现下列函数: (1) ∑=)15,13,10,8,7,5,2,0(),,,(1m D C B A L (2) ∑= )12,10,9,5,4,3,0(),,,(2m D C B A L (3) C B AB C B A L +=),,(3 5.15 将四选一数据选择器,扩展为16选一数据选择器。 5.16 用3线-8线译码器74138和8选1数据选择器74151和少量与非门实现组合逻辑电路。当控制变量C 2C 1C 0=000时,F=0;C 2C 1C 0=001时,F=ABC ;C 2C 1C 0=010时,F=A+B+C ;C 2C 1C 0=011时,F=ABC ;C 2C 1C 0=100时,F=C B A ++;C 2C 1C 0=101时,F=C B A ⊕⊕;C 2C 1C 0=110时,F=AB+AC+BC ;C 2C 1C 0=111时,F=1。画出电路图。 5.17 分析图P5.17所示电路的工作原理,说明电路的功能。

3.1组合逻辑电路的分析

第三章组合逻辑电路 基本要求: 熟练掌握组合逻辑电路的分析方法;掌握组合逻辑电路的设计方法;理解全加器、译码器、编码器、数据选择器、数据比较器的概念和功能,并掌握它们的分析与实现方法;了解组合逻辑电路中的险象 本章主要内容:组合逻辑电路的分析方法和设计方法。 本章重点: 组合逻辑电路的分析方法 组合逻辑电路的设计方法 常用逻辑部件的功能 本章难点: 组合逻辑电路的设计 一、组合逻辑电路的特点 若一个逻辑电路,在任一时刻的输出仅取决于该时刻输入变量取值组合,而与电路以前的状态无关,则电路称为组合逻辑电路(简称组合电路)。可用一组逻辑函数描述。 组合电路根据输出变量分为单输出组合逻辑电路和多输出组合逻辑电路。 注意:1.电路中不存在输出端到输入端的反馈通路。 2.电路不包含记忆元件。 3.电路的输出状态只由输入状态决定。 二、组合逻辑电路的分析方法 分析的含义:给出一个组合逻辑电路,分析它的逻辑功能。 分析的步骤: 1.根据给出的逻辑电路图,逐级推导,得到输出变量相对于

输入变量的逻辑函数。 2.对逻辑函数化简。 3.由逻辑函数列出对应的真值表。 4.由真值表判断组合电路的逻辑功能。 三、组合电路的分析举例 1、试分析图3-1所示的单输出组合逻辑电路的功能 解:(1)由G1、G2、G3各个门电路的输入输出关系,推出整个电路的表达式: Z1=ABC F=Z1+Z2 (2)对该逻辑表达式进行化简: (3)根据化简后的函数表达式,列出真值表3-1。 (4)从真值表中可以看出:当A、B、C三个输入一致时(或者全为“0”、或者全为“1”),输出才为“1”,否则输出为“0”。所以,这个组合逻辑电路具有检测“输入不一致”的功能,也称为“不一致电路”。

组合逻辑电路基本概念复习考试题

组合逻辑电路基本概念复习题 填空 1.消除或减弱组合电路中的竞争冒险,常用的方法是发现并消掉互补变量,增加__________,并在输出端并联 。冗余项、电容器 2.要扩展得到1个16-4线编码器,需要 片74LS148。2 3.在组合逻辑电路中,当一个输入信号经过多条路径传递后到达某一逻辑门的输入端时,会有时间先后,这一现象称为_________,由此而产生输出干扰脉冲的现象称为 。 竞争、冒险 4.所谓组合逻辑电路是指:在任何时刻,逻辑电路的输出状态只取决于电路各 的组合,而与电路的 无关。输入状态、原来的状态 5.组合逻辑电路由逻辑门电路组成,不包含任何 ,没有 能力。 记忆元件、记忆 6.常见的中规模组合逻辑器件有 和 等。 编码器、译码器、数据选择器、数值比较器、加法器任选二个。 7.加法器是一种最基本的算术运算电路,其中的半加器是只考虑本位两个二进制数进行相加不考虑 的加法器。低位向本位的进位 8.全半加器既要考虑本位两个二进制数进行相加,还要考虑 的加法器。 低位向本位的进位 9.用全加器组成多位二进制数加法器时,加法器的进位方式通常有、 、 2种。 串行进位、并行进位 10.基本译码器电路除了完成译码功能外,还能实现 和 功能。 逻辑函数发生、多路分配 11.多路分配器可以直接用 来实现。译码器 12.与4位串行进位加法器比较,使用超前进位全加器的目的是 。 提高运算速度 13.在分析门电路组成的组合逻辑电路时,一般需要先根据 写出逻辑表达式。 逻辑电路图 14.数据选择器的功能相当于多个输入的数据数据开关,是指经过选择,把 通道的数据传送到 的公共数据通道上去。多个、唯一 15.数据分配器的功能相当于一个多输出的数据开关,是将 数据源来的数据根据需要,送到 不同的通道上去。经过选择,把通道的数据传送到的公共数据通道上去。 一个、多个 16.加法器的超前进位级联方式,高位的运算不必等低位运算的结果,故提高了 ,但结构比较 。运算速度、复杂 17.加法器串行进位的级联方式由于结构 ,主要用在 数字设备中。 简单、低速 选择 1.比较两个一位二进制数A 和B ,当B A >时输出1=F ,则F 的表达式是(C )。 A 、A B F = B 、B A F = C 、A F = D 、A F = 2.设计加法器的超前进位是为了(B )。 A . 电路简单 B .每一级运算不需等待进位 C . 连接方便 D .使进位运算由低位到高位逐位进行

常见组合逻辑电路

12- 1 第十章第一节 常见的组合逻辑电路 共4页 《脉冲与数字电路》课程教案 第一节 常见的组合逻辑电路 掌握简单组合逻辑门电路符号和输入/输出关系; 理解加法器、比较器、编码器和译码 器的输入/输出关系。 与非、或非、与或非及异或门电路符号及对应的逻辑函数关系 其它组合逻辑电路的分析 方法 课 型:讲解 教学方法:用图示法表现组合逻辑门电路同基本逻辑门电路之间的联系;用真值表说明 组合逻辑电路 的功能。 教 具:组合逻辑电路教学挂图 时间分配:导入5分,组合逻辑门电路 30分,其它组合逻辑电路 50分(其中,加法器 10分,比较器15分,编码器10分,译码器15分),小结与作业布置 5分。 教学进程: V 导入〉复习: (提问)1、什么是门电路?常用的基本逻辑门电路有哪几种? 2 、什么是正逻辑和负逻辑? (引言)用门电路可以组成各种复杂的逻辑电路来模拟不同的逻辑函数关系,这些逻辑电 路分成两大类:组合逻辑电路和时序逻辑电路。 概述:什么是组合逻辑电路? 电路的输出只与该时刻的输入信号有关,而与电路原来的状态无关; 组合逻辑电路由逻辑门电路组成,且不含任何形式的信号回授(即反馈) 基本逻辑门电路就是最基本的组合逻辑电路。 第一节 常见的组合逻辑电路 一、简单组合逻辑门电路 概述:有与非门、或非门、与或非门和异或门等。 1. 与非门电路 电路符号: 逻辑函数:F = AB 真值表:(略) 2. 或非门电路 序号:12 教学内容: 第十章组合逻辑电路 V 正课> 第十章组合逻辑电路 目的与要求: 重点与难点: 1 F A B

电路符号: 逻辑函数:F= A + B 真值表:(略) 3.与或非门电路A B 电路符号: 逻辑函数:F= AB + CD 4.异或门电路 电路符号: A 逻辑函数:F= AB + AB = A ? B (推导逻辑关系)真值表:(略,强调其异或的含义) 二、其它组合逻辑电路 1?加法器 加法器的基础是一位加法器,一位加法器有半加和全加两种。 (1)半加器只实现本位相加(不计算低位向本位的进位,高位进位) 由真值表可知,异或门就能完成半加器功能。 (2)全加器实现本位和低位进位三者相加,并向高位进位(即有 三个输入端,两个输出端) 全加器真值表: 全加器本位和Si和进位G的逻辑表达式: S i = C i-1 ①(A j ① B j) C i = A i B i + C i-1(A i ? B i) 电路实现:S i由两个异或门组成,C i由一个异或门、一个与或非门和一个非门组成。(学生练习)半加器真值表 也不向0 1 1 0 1 1 1 1 全加器的逻辑符号: C i-1 Ai - B i- FA C i C i-1 A i B i S i C i 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 全加器真值表 2.比较器 (1)一位同比较器只判断两个一位二进制数是否相等的逻辑电路, 它是多位比较器的

组合逻辑电路的分析与设计实验报告

组合逻辑电路的分析与设计 实验报告 院系:电子与信息工程学院班级:电信13-2班 组员姓名: 一、实验目的 1、掌握组合逻辑电路的分析方法与测试方法。 2、掌握组合逻辑电路的设计方法。 二、实验原理 通常逻辑电路可分为组合逻辑电路和时序逻辑电路两大类。电路在任何时刻,输出状态只取决于同一时刻各输入状态的组合,而与先前的状态无关的逻辑电路称为组合逻辑电路。 1.组合逻辑电路的分析过程,一般分为如下三步进行:①由逻辑图写输出端的逻辑表达式;②写出真值表;③根据真值表进行分析,确定电路功能。 2.组合逻辑电路一般设计的过程为图一所示。 图一组合逻辑电路设计方框图 3.设计过程中,“最简”是指按设计要求,使电路所用器件最少,器件的种类最少,而且器件之间的连线也最少。 三、实验仪器设备 数字电子实验箱、电子万用表、74LS04、74LS20、74LS00、导线若干。 74LS00 74LS04 74LS20 四、实验内容及方法

1 、设计4线-2线优先编码器并测试其逻辑功能。 数字系统中许多数值或文字符号信息都是用二进制数来表示,多位二进制数的排列组合叫做代码,给代码赋以一定的含义叫做编码。 (1)4线-2线编码器真值表如表一所示 4线-2线编码器真值表 (2)由真值表可得4线-2线编码器最简逻辑表达式为 Y=((I0′I1′I2I3′)′(I0′I1′I2′I3)′)′ 1 Y=((I0′I1I2′I3′)′(I0′I1′I2′I3)′)′ (3)由最简逻辑表达式可分析其逻辑电路图 4线-2线编码器逻辑图 (4)按照全加器电路图搭建编码器电路,注意搭建前测试选用的电路块能够正常工作。 (5)验证所搭建电路的逻辑关系。 I=1 1Y0Y=0 0 1I=1 1Y0Y=0 1 I=1 1Y0Y=1 0 3I=1 1Y0Y=1 1 2 2、设计2线-4线译码器并测试其逻辑功能。 译码是编码的逆过程,它能将二进制码翻译成代表某一特定含义的号.(即电路的某种状态),具有译码功能的逻辑电路称为译码器。 (1)2线-4线译码器真值表如表二所示

组合逻辑电路

第五章组合逻辑电路 内容提要 【熟悉】组合逻辑电路的特点(功能、结构) 【掌握】组合逻辑电路的一般分析方法和设计方法 【熟悉】常见的五种组合逻辑电路 【掌握】中规模集成组合逻辑电路的应用(扩展与实现组合逻辑函数) 【了解】组合逻辑电路中的竞争和险象 一.一.网上导学 二.二.本章小结 三.三.典型例题 四.四.习题答案 网上导学 一. 一.组合逻辑电路的特点:p123 功能:输出仅取决于该时刻的输入而与电路原状态无关(无记忆功能); 结构(无记忆元件,无反馈环路). 二. 二.组合逻辑电路的一般分析方法(组合逻辑电路图→求解逻 辑功能): 组合逻辑电路图→列出逻辑函数表达式(迭代法,由输入逐级向后推) →求标准表达式或简化的表达式(转换或化简) →列出相应的真 值表→判断电路功能。例5.2.1(异或门) P124 分析图5.3.3逻辑电路

1.1.迭代法求输出逻辑表达式,如图: 图中,C=B A ,D=AB,用迭代法求出电路输出逻辑表达式 F= 2.列出真值表(表5.2.1, P125) 分析真值表可知该电路是一个异或门 例2. 试分析下面电路 1.由上图可知E=AB,D=AC,G=BC,迭代法得F=E+D+G=AB+AC+BC 2. 列出相应的真值表

由真值表可以看出,该逻辑电路是一个三人多数表决电路。 三. 三. 组合逻辑电路的一般设计方法: 根据设计要求(要实现的逻辑功能)→画出逻辑电路图. 设计要求→列出真值表(确定输入、输出变量及它们的逻辑关系) →化简写出简化的逻辑表达式(→或转换成逻辑器件所需的表达形式)→画出逻辑图。 例5.3.1(多数表决器) P125。 举例:设计一个一位加法器(半加器)电路. 1. 1. 该电路有两个输入An 、Bn 和二个输出Sn 和Cn, An Bn Sn Cn 0 0 0 0 0 1 1 0 1 0 1 0 1 1 1 Sn=Bn An Bn An Bn An ⊕=+,Cn=An*Bn 3. 3. 画出逻辑图 四.组合逻辑电路中的竞争和险象:P126~P129

第六章_几种常用的组合逻辑电路试题及答案

1.(8-5中)设一位二进制半加器的被加数为A,加数为B,本位之和为S, 向高位进位为C,试根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表: 2.(8-5难)设一位二进制全加器的被加数为A i,加数为B i,本位之和为 S i,向高位进位为C i,来自低位的进位为C i-1,根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表:

3.(8-1难)分析图示逻辑电路: 1).列真值表 2).写出逻辑表达式 3).说明其逻辑功能。 =++,根据给出的4.(8-3难*)用一个74LS138译码器实现逻辑函数Y ABC ABC ABC 部分逻辑图完成逻辑图的连接。

6.(8-1难)试用2输入与非门和反向器设计一个3输入(I0、I1、I2)、3输出(L0、L1、L2)的信号排队电路。它的功能是:当输入I0为1时,无论I1和I2为1还是0,输出L0为1,L1和L2为0;当I0为0且I1为1,无论I2为1还是0,输出L1为1,其余两个输出为0;当I2为1且I0和I1均为0时,输出L2为1,其余两个输出为0。如I0、I1、I2均为0,则L0、L1、L2也均为0。 1).列真值表 2).写出逻辑表达式 3).将表达式化成与非式 4).根据与非式画出逻辑图 7.(8-1难)某个车间有红、黄两个故障指示灯,用来表示3台设备的工作情况。如一台设备出现故障,则黄灯亮;如两台设备出现故障,则红灯亮;如三态设备同时出现故障,则红灯和黄灯都亮。试用与非门和异或门设计一个能实现此要求的逻辑电路。 1).列真值表

2).写出逻辑表达式 3).根据表达式特点将其化成与非式,或者是异或式 4).根据化成的表达式画出逻辑图 9.(8-3难)请用3-8线译码器译码器和少量门器件实现逻辑函数 ()()∑=7630,,,,,m A B C F 。

组合逻辑电路的分析与设计

第三章组合逻辑电路的分析和设计 [教学要求] 1.掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式; 2.掌握逻辑函数的公式化简法和卡诺图化简法; 3.了解最小项、最大项、约束项的概念及其在逻辑函数化简中的使用。 4.掌握组合逻辑电路的分析和设计方法; 5.了解组合电路中的竞争和冒险现象、产生原因及消除方法。 [教学内容] 1.逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式 2.逻辑函数的公式化简法和卡诺图化简法 3.最小项、最大项、约束项的概念及其在逻辑函数化简中的使用 4.组合逻辑电路的分析方法 5.组合逻辑电路的设计方法 6.组合电路中的竞争和冒险现象、产生原因及消除方法 组合逻辑电路――在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而和先前状态无关的逻辑电路。 组合逻辑电路具有如下特点: (1)输出、输入之间没有反馈延迟通路; (2)电路中不含记忆单元。 3.1 逻辑代数 逻辑代数是分析和设计逻辑电路不可缺少的数学工具。逻辑代数提供了一种方法,即使用二值函数进行逻辑运算。逻辑代数有一系列的定律和规则,用它们对数学表达式进行处理,可以完成对电路的化简、变换、分析和设计。

一、逻辑代数的基本定律和恒等式 常用逻辑代数定律和恒等式表:P90 加乘非 基本定律 结合律 交换律 分配律 反演律(摩根定律) 吸收律 其他常用恒等式 表中的基本定律是根据逻辑加、乘、非三种基本运算法则,推导出的逻辑运算的一些基本定律。对于表中所列的定律的证明,最有效的方法就是检验等式左边的函数和右边函数的真值表是否吻合。 证明: 证明如下: 二、逻辑代数的基本规则

第六章组合逻辑电路详解

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

组合逻辑电路的分析

一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。 5.评价电路性能。 三.思路总结:

四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。 3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。

五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z 1、Z 2、Z 3和Y 的逻辑表达式为: 321 3121Z Z Y BZ Z AZ Z AB Z ==== (2)化简与变换:将Z 1、Z 2、和Z 3代入到公式Y 中进行公式化简得: B A B A BZ AZ BZ AZ Z Z Z Z Y +=+=+=+==11113232 (3)列出真值表:根据化简以后的逻辑表达式列出真值表如表所示。

组合逻辑电路分析练习题及答案.

《组合逻辑电路分析》练习题及答案 [4.1] 分析图P4.1电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 图P4.1 [解] BC AC AB Y BC AC AB C B A ABC Y ++=+++++=21)( 真值表: A B C Y 1 Y 2 000 0 0 00 1 1 0 010 1 0 01 1 0 1 100 1 0 10 1 0 1 1 1 0 0 1 11 1 1 1 由真值表可知:电路构成全加器,输入A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [4.2] 图P4.2是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.2 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=1、Z=0时的真值表 COMP=0、Z=0的真值表从略。 [题4.3] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.3的真值表如表A4.3所示,逻辑图如图A4.3所示。 表A4.3 ABCD D ABC D C AB CD B A BCD A Y ++++= BCD ACD ABC ABC +++= 十进制数 A 4A 3A 2A 1 Y 4Y 3Y 2 Y 1 十进制数 A 4 A 3 A 2 A 1 Y 4 Y 3 Y 2 Y 1 0 0 0 0 0 100 1 8 1000 000 1 1 000 1 1000 9 100 1 0000 2 0010 011 1 伪 码 1010 011 1 3 001 1 0110 101 1 0110 4 0100 010 1 1100 010 1 5 010 1 0100 110 1 0100 6 0110 001 1 1110 001 1 7 011 1 0010 111 1 0010 A B C D Y A B C D Y 0 0 0 0 0 1000 0 000 1 0 100 1 0 0010 0 1010 0 001 1 0 101 1 1 0100 0 1100 0 010 1 0 110 1 1 0110 0 1110 1 011 1 1 111 1 1

组合逻辑电路基础知识、分析方法

组合逻辑电路基础知识、分析方法 电工电子教研组徐超明 一.教学目标:掌握组合逻辑电路的特点及基本分析方法 二.教学重点:组合逻辑电路分析法 三.教学难点:组合逻辑电路的特点、错误!链接无效。 四.教学方法:新课复习相结合,温故知新,循序渐进; 重点突出,方法多样,反复训练。 14.1 组合逻辑电路的基础知识 一、组合逻辑电路的概念 [展示逻辑电路图]分析得出组合逻辑电路的概念:若干个门电路组合起来实现不同逻辑功能的电路。 复习: [展示逻辑电路图]分析得出组合逻辑电路的特点和能解决的两类问题: 二、组合逻辑电路的特点 任一时刻的稳定输出状态,只决定于该时刻输入信号的状态,而与输入信号作用前电路原来所处的状态无关。不具有记忆功能。

三、组合逻辑电路的两类问题: 1.给定的逻辑电路图,分析确定电路能完成的逻辑功能。 →分析电路 2.给定实际的逻辑问题,求出实现其逻辑功能的逻辑电路。→设计电路 14.1.1 组合逻辑电路的分析方法 一、 分析的目的:根据给定的逻辑电路图,经过分析确定电路能完成的逻辑功能。 二、 分析的一般步骤: 1. 根据给定的组合逻辑电路,逐级写出逻辑函数表达式; 2. 化简得到最简表达式; 3. 列出电路的真值表; 4. 确定电路能完成的逻辑功能。 口诀: 逐级写出表达式, 化简得到与或式。 真值表真直观, 分析功能作用大。 三、 组合逻辑电路分析举例 例1:分析下列逻辑电路。 解: (1)逐级写出表达式: Y 1=B A , Y 2=BC , Y 3=21Y Y A =BC B A A ??,Y 4=BC , F=43Y Y =BC BC B A A ??? (2)化简得到最简与或式: F=BC BC B A A ???=BC BC B A A +??=BC C B B A A +++))(( =BC C B A B A BC C B B A +??+?=++?)(=BC B A BC C B A +?=++?)1( (3) (4)叙述逻辑功能: 当 A = B = 0 时,F = 1 当 B = C = 1 时,F = 1

组合逻辑电路实验报告

实 验 课程名称:数字电子技术基础实验指导老师:樊伟敏成绩:__________________ 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门)74LS55(与或非门)74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 (一) 一位全加器 1.1实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 1.2实验内容:用74LS00与非门和74LS55与或非门设计一个一位全加器电路,并进行功能测试。 1.3设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: ;;1-i Bi)C (Ai + Bi Ai = Ci 1-Ci Bi Ai = Si ⊕⊕⊕异或门可通过,A Bi Ai AB B +=⊕即一个与非门(74LS00),一个与或非门(74LS55)来实现。 , ,通过一个与或非门1-i 1-i 1-i Bi)C (Ai + Bi Ai Bi)C (Ai + Bi Ai Bi)C (Ai + Bi Ai = Ci ⊕⊕=⊕用与非门)实现。再取非,即一个非门( 1.4仿真与实验电路图:仿真与实验电路图如图1所示。 1.5实验数据记录以及实验结果 全加器实验测试结果满足全加器的功能,真值表: 图1

组合逻辑电路分析

A B C (1) 写出Y 的最简与或式; (2) 列出电路的真值表; (3) 说明电路的逻辑功能; 2.设计一个三输入端组合逻辑电路,当三个输入端信号电平相同时,输出为1,否则输出为0. 2005年 (1)列出真值表。 (2)求出与或表达式并变换为与非—与非式。 (3)画出用与非门组成的逻辑图(输入端可直接使用后变量)。 1.逻辑函数Y 的真质表如下表所示,2006年 (1)求出Y 的与或表达式 (2)求出Y 的最简与非—与非表达式 (3)画出用与非门实现函数Y 的逻辑图。

(1)写出Y1、Y2、Y3的表达式; (2)写出Y 的最简与或门; (3)画出化简后的逻辑电路图。 A B C 图3.3 1、组合逻辑电路的输入A 、B 、C 和输出F 的波形如图所示。2008年 (1)列出真值表; (2)写出逻辑函数表达式并化简; (3)用最少的与非门实现。 1、只有一辆自行车,要求只有在A 同意的情况下,B 和C 才可以骑,但B 具有优先权,B 不骑时C 才可以骑。 (1)列出真值表。(2)写出最简逻辑表达式,(3)试用与非门设计电路,画出逻辑电路图。2009年 三、简答题 1. (8-5中)设一位二进制半加器的被加数为A ,加数为B ,本位之和为S , 向高位进位为C ,试根据真值表 1).写出逻辑表达式 2).画出其 逻辑图。 真值表:

2.(8-5难)设一位二进制全加器的被加数为A i,加数为B i,本位之和为 S i,向高位进位为C i,来自低位的进位为C i-1,根据真值表 1).写出逻辑表达式 2).画出其逻辑图。 真值表: 3.(8-1难)分析图示逻辑电路:

组合逻辑电路分析

实验名称组合逻辑电路分析、设计与测试 一、实验目的 1.掌握组合逻辑电路的分析与测试方法; 2.掌握用门电路设计组合逻辑电路的方法。 二、实验原理 1.组合逻辑电路的分析与测试 组合逻辑电路是最常见的逻辑电路,即通过基本的门电路(比如与门,与非门,或门,或非门等)来组合成具有一定功能的逻辑电路。组合逻辑电路的分析,就是根据给定的逻辑电路,写出其输入与输出之间的逻辑函数表达式,或者列出真值表,从而确定该电路的逻辑功能。组合逻辑电路的测试,就运用实验设备和仪器,搭建出实验电路,测试输入信号和输出信号是否符合理论分析出来的逻辑关系,从而验证该电路的逻辑功能。 组合逻辑电路的分析与测试的步骤通常是: (1)根据给定的组合逻辑电路图,列出输入量和中间量、输出量的逻辑表达式; (2)根据所得的逻辑式列出相应的真值表或者卡诺图; (3)根据真值表分析出组合逻辑电路的逻辑功能; (4)运用实验设备和器件搭建出该电路,测试其逻辑功能。 2.组合逻辑电路的设计与测试 组合逻辑电路的设计与测试,就是根据设计的功能要求,列出输入量与输出量之间的真值表,通过化简获得输入量与输出量之间的逻辑表达式,然后根据逻辑表达式用相应的门电路设计该组合逻辑电路,然后运用实验设备与器件搭建实验电路,测试该电路是否符合设计要求。 组合逻辑电路的设计与测试的步骤通常是: (1)根据设计的功能要求,列出真值表或者卡诺图; (2)化简逻辑函数,得到最简的逻辑表达式; (3)根据最简的逻辑表达式,画出逻辑电路; (4)搭建实验电路,测试所设计的电路是否满足要求。 三、预习要求 1.阅读理论教材上有关组合逻辑电路的分析与综合以及半加器等章节内容,以达到明确实 验内容的目的。 2.查阅附录有关芯片管脚定义和相关的预备材料。 四、实验设备与仪器 1.数字电路实验箱; 2.芯片74LS00;74LS20。 五、实验内容 1.半加器逻辑电路的分析与测试

组合逻辑电路

第五章 组合逻辑电路 内容提要 【熟悉】组合逻辑电路的特点(功能、结构) 【掌握】组合逻辑电路的一般分析方法和设计方法 【熟悉】常见的五种组合逻辑电路 【掌握】中规模集成组合逻辑电路的使用(扩展和实现组合逻辑函数) 【了解】组合逻辑电路中的竞争和险象 一.一.网上导学 二.二.本章小结 三.三.典型例题 四.四.习题答案 网上导学 一. 一. 组合逻辑电路的特点:p123 功能:输出仅取决于该时刻的输入而和电路原状态无关(无记忆功能); 结构(无记忆元件,无反馈环路). 二. 二. 组合逻辑电路的一般分析方法(组合逻辑电路图→求解逻辑功能): 组合逻辑电路图→列出逻辑函数表达式(迭代法,由输入逐级向后推) →求标准表达式或简化的表达式(转换或化简) →列出相应的真值表→判断电路功能。例5.2.1(异或门) P124 分析图5.3.3逻辑电路 1. 1. 迭代法求输出逻辑表达式,如图: 图中,C=B A +,D=AB,用迭代法求出电路输出逻辑表达式 F=B A B A B A B A AB B A AB B A D C +=++=*+=++=+))(()( 2.列出真值表(表5.2.1, P125) 分析真值表可知该电路是一个异或门

例2. 试分析下面电路 1.由上图可知E=AB,D=AC,G=BC,迭代法得F=E+D+G=AB+AC+BC 2. 列出相应的真值表 由真值表可以看出,该逻辑电路是一个三人多数表决电路。 三. 三.组合逻辑电路的一般设计方法: 根据设计要求(要实现的逻辑功能)→画出逻辑电路图. 设计要求→列出真值表(确定输入、输出变量及它们的逻辑关系) →化简写出简化的逻辑表达式(→或转换成逻辑器件所需的表达形式)→画出逻辑图。例5.3.1(多数表决器) P125。 举例:设计一个一位加法器(半加器)电路. 1. 1.该电路有两个输入An、Bn和二个输出Sn和Cn, An Bn Sn Cn 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1

数电实验 组合逻辑电路终版.doc

实验报告 课程名称: 数字电子技术实验 指导老师: 成绩:__________________ 实验名称: 组合逻辑电路 实验类型: 设计型实验 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的和要求 1. 加深理解典型组合逻辑电路的工作原理。 2. 熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3. 掌握组合集成电路元件的功能检查方法。 4. 掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 5. 熟悉全加器和奇偶位判断电路的工作原理。 二.实验内容和原理 组合逻辑电路设计的一般步骤如下: 1.根据给定的功能要求,列出真值表; 2. 求各个输出逻辑函数的最简“与-或”表达式; 3. 将逻辑函数形式变换为设计所要求选用逻辑门的形式; 4. 根据所要求的逻辑门,画出逻辑电路图。 实验内容: 1. 测试与非门74LS00和与或非门74LS55的逻辑功能。 2. 用与非门74LS00和与或非门74LS55设计一个全加器电路,并进行功能测试。 3. 用与非门74LS00和与或非门74LS55设计四位数奇偶位判断电路,并进行功能测试。 三. 主要仪器设备 与非门74LS00,与或非门74LS55,导线,开关,电源、实验箱 四.实验设计与实验结果 1、一位全加器 全加器实现一位二进制数的加法,他由被加数、加数和来自相邻低位的进数相加,输出有全加和与向高位的进位。输入:被加数Ai ,加数Bi ,低位进位Ci-1输出:和Si ,进位Ci 专业: 电子信息工程 姓名: 学号: 日期: 地点: 东三 装 订 线

相关主题
文本预览
相关文档 最新文档