当前位置:文档之家› 51单片机电子时钟设计

51单片机电子时钟设计

(完整)基于51单片机电子时钟设计

(完整)基于51单片机电子时钟设计 编辑整理: 尊敬的读者朋友们: 这里是精品文档编辑中心,本文档内容是由我和我的同事精心编辑整理后发布的,发布之前我们对文中内容进行仔细校对,但是难免会有疏漏的地方,但是任然希望((完整)基于51单片机电子时钟设计)的内容能够给您的工作和学习带来便利。同时也真诚的希望收到您的建议和反馈,这将是我们进步的源泉,前进的动力。 本文可编辑可修改,如果觉得对您有帮助请收藏以便随时查阅,最后祝您生活愉快业绩进步,以下为(完整)基于51单片机电子时钟设计的全部内容。

基于51单片机的电子时钟设计 摘要 本电子时钟以STC89C52单片机作为主控芯片,采用DS12C887时钟芯片,使用1602液晶作为显示输出.该时钟走时精确,具有闹钟设置,以及可同时显示时间、日期等多种功能。本文将详细介绍该电子时钟涉及到的一些基本原理,从硬件和软件两方面进行分析. 【关键词】 STC89C52单片机 DS12C887时钟芯片 1602液晶蜂鸣器 目录 一、绪论 (4)

1.1 电子时钟功能 (4) 1.2设计方案 (4) 二、硬件设计 (4) 2。151单片机部分设计 (4) 2.2 USB供电电路设计 (5) 2.3 串行通信电路设计 (6) 2.4 DS12C887时钟芯片电路的设计 (6) 2。5 1602LCD液晶屏显示电路设计 (7) 2。6蜂鸣器电路设计 (8) 2。7按键调整电路设计 (8) 三、软件设计 (9) 3.1系统程序流程图设计 (9) 3。2程序设计 (11) 四、心得体会 (22) 参考文献 (23) 一、绪论 1。1电子时钟功能 (1)在1602液晶上显示年、月、日、星期、时、分、秒,并且按秒实时更新显示。 (2)具有闹铃设定即到时报警功能,报警响起时按任意键可取消报警。 (3)能够使用实验板上的按键随时调节各个参数,四个有效键分别为功能选择键、数值增大键、数值减小键和闹钟查看键。 (4)每次有键按下时,蜂鸣器都以短“滴”声报警. (5)利用DS12C887自身掉电可继续走时的特性,该时钟可实现断电时间不停、再次上电时时间仍准确显示在液晶上的功能。 1。2设计方案 DS12C887时钟芯片+1602LCD液晶屏 DS12C887时钟芯片功能丰富、价格适中,能够自动产生世纪、年、月、日、时、分、秒等时间信息,其内部含有世纪寄存器,从而利用硬件电路解决“千年”问题。DS12C887中自带锂电池,外部掉电时,其内部时间信息还能保持10年之久。1602LCD液晶屏可以输出2行,每行显示16个字符。1602LCD液晶屏显示清晰且不会闪烁,由于液晶屏是数字式的,因此和单片机系统的接口简单,操作方便。 以STC89C52为主控芯片,DS12C887为时钟芯片,1602LCD液晶屏作为显示器.程序控制DS12C887时钟芯片实现小时、分、秒和年、月、日的计时,并在1602LCD液晶屏上显示出来。当时间走到程序所设定的时间时,蜂鸣器响起,起到闹钟功能。

51单片机数字钟设计程序

51单片机数字钟设计程序 51单片机是一种常用的单片机芯片,它具有体积小、功耗低、性能稳定等特点,被广泛应用于各种电子设备中。本文将以51单片机数字钟设计程序为主题,介绍如何使用51单片机设计并实现一个简单的数字钟。 我们需要了解一下数字钟的基本原理。数字钟主要由时钟芯片、数码管、按键等组成。时钟芯片负责计时和控制,数码管用于显示时间,按键则用于设置和调整时间。 在设计数字钟的程序时,我们需要考虑以下几个方面: 1. 时钟设置:首先,我们需要设置时钟芯片的工作模式。一般来说,时钟芯片有两种工作模式,分别是24小时制和12小时制。我们可以通过按键来选择工作模式,并将选择结果保存到相应的寄存器中。 2. 时间显示:接下来,我们需要将时钟芯片中的时间数据通过数码管显示出来。数码管通常由7段LED组成,每段LED对应一个数字或字符。我们可以通过控制数码管的引脚状态来实现不同数字的显示。同时,为了使时间显示更加清晰,我们可以在数码管之间加入冒号等分隔符。 3. 时间调整:为了保证时间的准确性,我们需要提供时间调整的功能。可以通过按键来实现时间的增加和减少,从而调整时钟芯片中的时间数据。当按键按下时,我们可以检测到相应的信号,并将其

转换为时间调整的命令。 4. 闹钟功能:除了显示时间,数字钟还可以具备闹钟功能。我们可以设置一个闹钟时间,并在达到闹钟时间时触发相应的报警信号。一般来说,闹钟功能可以通过按键设置,并将设置结果保存在相应的寄存器中。当时钟芯片中的时间与闹钟时间一致时,我们可以通过控制蜂鸣器等外设来发出报警信号。 通过以上的设计,我们可以实现一个简单的数字钟。当然,如果我们希望数字钟具备更多的功能,比如温湿度显示、定时器等,我们还可以在程序中添加相应的代码来实现。 总结一下,本文以51单片机数字钟设计程序为主题,介绍了数字钟的基本原理以及设计过程。通过对时钟芯片、数码管、按键等的控制,我们可以实现时间的显示、调整和闹钟功能。希望本文对读者理解数字钟的设计有所帮助,同时也能够激发读者对单片机程序设计的兴趣。

51单片机电子时钟设计及说明

51单片机电子时钟程序 #include #include #include #include #define uchar unsigned char #define uint unsigned int sbit mode=P2^0; //设定修改位数k0 sbit plus=P2^2; //加键k2 sbit dec=P2^3; //减键k3 sbit nz=P2^1; // 闹钟设置k1 sbit nzz=P2^4; // 闹钟总开关k4 sbit nzf=P2^5; // 闹钟分开关k5 sbit fm=P2^6; uchar a=0,b=0; uchar DateTime[7]; uchar week,mode_num,mod_num; uchar tab1[]={"D **/**/** "}; uchar tab2[]={"T **:**:** "}; char code weekday[8][4]={"***","MON","TUS","WEN","THU","FRI","SAT","SUN"}; char code dayofmonth[]={31,28,31,30,31,30,31,31,30,31,30,31}; sbit rs=P1^0; //寄存器选择信号 sbit rw=P1^1; //读写控制信号线 sbit en=P1^2; //使能信号线 char code int_to_char[]="0123456789"; void delay(uint x) //延时程序 { uint a,b; for(a=x;a>0;a--) for(b=10;b>0;b--); } void write_com(uchar com) //写命令 { rs=0;

基于51单片机的电子时钟的设计

基于51单片机的电子时钟的设计 电子时钟已经成为我们日常生活中不可或缺的设备之一。随着科技的不断发展,电子 时钟也越来越智能化,功能也越来越强大。然而,简单的电子时钟也非常实用,可以帮助 我们准确地把握时间,安排生活。本文将基于51单片机,介绍一个简单的电子时钟的设计。 第一步,硬件设计。要实现电子时钟,我们需要用到一个时钟模块,它可以为我们提 供一个准确的时间基准。同时,我们还需要将时间显示在一个数码管上,所以在硬件设计 中我们需要使用数码管。此外,为了方便调试,我们需要一个串口模块,它可以将调试信 息输出到PC端,供我们观察。 具体的硬件设计如下: 1.时钟模块 我们使用的是DS1302时钟模块,它可以提供准确的时间计算。DS1302时钟模块有六 个引脚,分别是:VCC、GND、CLK、DAT、RST、DS。其中,VCC和GND分别连接电源正负极,CLK是时钟,DAT是数据,RST是复位,DS是时钟数据存储器。 2.数码管 我们使用共阴数码管,它有12个引脚,其中11个引脚是段选线,另外一个引脚是位 选线。为了方便连接,我们可以使用数码管驱动芯片,如74HC595。它可以将51单片机的串行数据转为并行数据,以驱动数码管。 3.串口模块 串口模块是用于通信的模块,它有4个引脚,分别是:VCC、GND、TX、RX。其中,VCC 和GND连接电源正负极,TX是发送端口,RX是接收端口。 第二步,软件设计。软件设计主要包括三个部分,分别是时钟模块的驱动程序、数码 管的驱动程序和主程序。 我们需要编写一个DS1302时钟模块的驱动程序。通过驱动程序,我们可以读取当前时间,并将其设置为时钟模块的初始时间。同时,我们还需要实现定时器中断,以更新时钟 显示。 数码管驱动程序是通过74HC595芯片实现的。我们需要编写一个函数,将当前时间转 换为段选数据,再通过74HC595芯片输出到数码管上。 3.主程序

基于51单片机电子时钟设计

基于51单片机电子时钟设计 51单片机是一种非常常见的单片机,被广泛应用于各种电子设备中。在本文中,我将基于51单片机设计一个电子时钟。 首先,我们需要收集各种元器件,包括51单片机、数码管显示模块、电容、电阻、晶体振荡器等。接下来,我们将进行硬件连接。 首先,将数码管显示模块连接到单片机的相应引脚上。数码管显示模 块通常由多个七段数码管组成,每个七段数码管有共阴极和共阳极两种类型,根据具体的数码管型号选择适当的连接方式。 接下来,连接晶体振荡器到单片机上。晶体振荡器通常用于提供时钟 信号,给单片机提供准确的时钟频率。选择适当的晶体振荡器频率,将其 连接到单片机的相应引脚上。 同时,还需要连接其他的元件,如电容和电阻。电容用于稳定电压, 在电路中通常用作滤波器。选择合适的电容,将其连接到电源引脚上。电 阻用于限制电流和调整电压,根据需要选择合适的电阻值,并将其连接到 相应的引脚上。 接下来,我们将进行软件编程。 首先,我们需要在编程环境中选择适当的编程语言,比如C语言。然后,我们需要编写代码来实现时钟的各种功能。 首先,我们需要初始化单片机的引脚。这可以通过设置相应的寄存器 来实现,以确保单片机正常工作。 接下来,我们需要编写代码来实现时钟的显示功能。我们可以使用循 环来不断刷新数码管显示,以确保显示的时钟数值实时更新。可以通过读

取单片机内部的计时器或使用外部的定时模块来获取当前的时间,并将其转换为数码管可以显示的格式。 除了显示功能之外,还可以添加其他功能,比如闹钟、定时器等。闹钟功能可以通过检测当前时间和设置的闹钟时间来触发相应的提醒。定时器功能可以用来设置特定的时间间隔,并在到达设定时间时触发相应的操作。 总结起来,基于51单片机设计一个电子时钟需要进行硬件连接和软件编程。通过合理的硬件连接和编写精确的代码,我们可以实现一个功能齐全的电子时钟,满足各种需求。

51单片机电子时钟设计

51单片机电子时钟设计 电子时钟是一种非常实用的电子设备,它可以准确地显示时间,并拥 有一系列的功能,如闹钟、日历等。使用51单片机设计电子时钟,可以 实现这些功能,同时还能够进行功能扩展,更好地满足用户需求。 首先,我们需要硬件上的准备工作。51单片机需要与时钟(晶振) 和显示器(LCD模块)进行连接。晶振是提供单片机时钟脉冲的源头, LCD模块用于显示时间和各种功能。同时,在电路中还需要进行一些扩展,如实时时钟模块(RTC模块)、按键模块等。 在软件设计方面,主要需要考虑以下几个方面: 1.时钟脉冲:通过配置晶振的频率,可以生成单片机所需的时钟脉冲。这个脉冲控制了单片机的运行速度,从而影响到时钟的准确性。需要根据 晶振频率进行相关配置。 2.时间的获取和计算:通过RTC模块可以获取当前的时钟数据(包括年、月、日、时、分、秒)。在程序中,需要通过相应的接口获取这些数据,并进行计算。比如,在显示时钟的时候,可以通过获取秒数、分钟数 和小时数,并将其转换为相应的字符串进行显示。 3.菜单和按键功能:为了实现更多的功能,我们可以通过按键来实现 菜单切换和功能选择。在程序中,需要对按键进行扫描,判断按键的状态,然后进行相应的操作。比如,按下菜单键可以进入菜单界面,通过上下键 选择不同的功能,再通过确定键进行确认。 4.闹钟功能:闹钟功能是电子时钟中常见的功能之一、通过设置闹钟 时间,并进行闹钟的开启或关闭,可以在指定的时间点触发相应的报警动

作。在程序中,需要编写逻辑判断闹钟是否到达指定的时间,然后触发报警。 5.日历功能:除了显示时间,电子时钟还可以显示当前的日期,包括年、月、日。在程序中,需要编写相关的逻辑来获取日期数据,并进行显示。 通过以上的步骤,我们可以基本实现一个简单的电子时钟功能。当然,根据用户的需求,还可以进行更多的功能扩展,比如添加温湿度监测、自 动调光等功能。 总结起来,51单片机电子时钟的设计主要包括硬件和软件两个方面。在硬件上,需要连接晶振和LCD模块,并对其他扩展模块进行连接。在软 件上,需要编写相应的程序,包括时钟脉冲、时间的获取和计算、菜单和 按键功能、闹钟功能以及日历功能等。这样就可以实现一个功能完善的电 子时钟。

基于C51单片机的多功能电子时钟设计(完美实现版)

作业名: 单片机课程设计报告——电子时钟****: *** 学生姓名: lycaner 班级: 北京交通大学电子信息工程学院自动化 学号: XXXXXXXX

电子时钟实验报告 一,实验目的 1. 学习8051定时器时间计时处理、按键扫描及LED数码管显示的设计方法。 2. 设计任务及要求利用实验平台上4个LED数码管,设计带有闹铃功能的数字时钟 二,实验要求 A.基本要求: 1. 在4位数码管上显示当前时间。显示格式“时时分分” 2. 由LED闪动做秒显示。 3. 利用按键可对时间及闹玲进行设置,并可显示闹玲时间。当闹玲时间到蜂鸣器发出声响, 按停止键使可使闹玲声停止。 4.实现秒表功能(百分之一秒显示) B.扩展部分: 1.日历功能(能对年,月,日,星期进行显示,分辨平年,闰年以及各月天数,并调整) 2.音乐闹铃(铃音可选择,闹铃被停止后,闪烁显示当前时刻8秒后,或按键跳入正常时间显示状态) 3.定时功能(设定一段时间长度,定时到后,闪烁提示) 4.倒计时功能(设定一段时间长度,能实现倒计时显示,时间长减到0时,闪烁提示) 5.闹铃重响功能(闹铃被停止后,以停止时刻开始,一段时间后闹铃重响,且重响时间的间隔可调) 三,实验基本原理 利用单片机定时器完成计时功能,定时器0计时中断程序每隔0.01s中断一次并当作一个计数,设定定时1秒的中断计数初值为100,每中断一次中断计数初值减1,当减到0时,则表示1s到了,秒变量加1,同理再判断是否1min钟到了,再判断是否1h到了。 为了将时间在LED数码管上显示,可采用静态显示法和动态显示法,由于静态显示法需要译码器,数据锁存器等较多硬件,可采用动态显示法实现LED显示,通过对每位数码管的依次扫描,使对应数码管亮,同时向该数码管送对应的字码,使其显示数字。由于数码管扫描周期很短,由于人眼的视觉暂留效应,使数码管看起来总是亮的,从而实现了各种显示。

51单片机的电子时钟设计

51单片机的电子时钟设计 一、引言 随着科技的发展和人们对时间的准确度的要求日益提高,电子时钟成为了人们生活中不可缺少的一部分。本文将介绍一种基于51单片机的电子时钟设计。 二、硬件设计 1.主控部分 本设计使用了51单片机作为主控芯片,51单片机具有丰富的接口资源和强大的处理能力,非常适合用于电子时钟的设计。 2.显示部分 采用了数码管显示屏作为显示部分。为了提高显示的清晰度,我们选用了共阳数码管。使用4位数码管即可显示时、分和秒。 3.时钟部分 时钟部分由振荡器和RTC电路构成。振荡器提供时钟脉冲信号,RTC 电路实现对时钟的准确计时。 4.按键部分 按键部分采用矩阵按键,以实现对时间的设置和调整。 三、软件设计 1.系统初始化

在系统初始化阶段,需要对硬件进行初始化设置。包括对I/O口的配置,定时器的初始化等。 2.时间设置 用户可以通过按键设置当前的时间。通过矩阵按键扫描,检测到用户 按下了设置键后,进入时间设置模式。通过按下加减键,可以增加或减少时、分、秒。通过按下确认键,将设置的时间保存下来。 3.时间显示 在正常运行模式下,系统将会不断检测当前的时间,并将其显示在数 码管上。通过对时钟模块的调用,可以获取当前的时、分、秒并将其显示 出来。 4.闹钟功能 在时间设置模式下,用户还可以设置提醒闹钟的功能。在设定时间到 来时,系统会发出蜂鸣器的声音,提醒用户。 四、测试与验证 完成软硬件设计后,进行测试与验证是必不可少的一步。通过对硬件 的连线接触检查和软件的功能测试,可以确保整个设计的正确性和可靠性。 五、总结 通过本次设计,我对51单片机的使用和原理有了更清晰的认识,同 时也对电子时钟的设计和制作有了更深入的了解。电子时钟作为一种常见 的电子产品,在我们的日常生活中发挥了重要的作用。这次设计过程中, 我遇到了许多问题,但通过查阅资料并与同学一起探讨,最终解决了问题。相信通过不断的学习和实践,我可以在未来的设计中取得更好的成果。

基于51单片机定时器的电子时钟设计

基于51单片机定时器的电子时钟设计电子时钟是一种集计时、显示时间等功能于一体的电子设备。它可以准确地显示当前的时间,并通过定时器控制乃至更新时间。本文将介绍基于51单片机定时器的电子时钟设计。 设计步骤如下: 步骤一:硬件设计 首先,需要准备以下硬件元件: 1.51单片机:作为主要控制单元; 2.DS1302实时时钟芯片:用于计时和保存时间数据; 3.16x2字符LCD显示屏:用于显示时间; 4.4x4矩阵键盘:用于调整时间和设置闹钟; 5.蜂鸣器:用于报时功能; 6.电位器:用于调整LCD背光亮度。 将这些硬件元件按照电路图连接起来,注意正确连接引脚和电源。 步骤二:软件设计 在51单片机上编写程序,实现以下功能: 1.初始化: a.初始化DS1302实时时钟芯片,设置初始时间; b.初始化LCD显示屏;

c.初始化矩阵键盘; 2.获取时间: a.从DS1302芯片读取当前时间; 3.显示时间: a.将时间数据转换为字符,并在LCD上显示出来; 4.键盘输入: a.监测矩阵键盘输入,判断用户按下的是哪个键; b.根据不同的键,执行相应的操作,如设置时间、设置闹钟等; 5.闹钟功能: a.设置闹钟时间,当当前时间与闹钟时间相同时,触发蜂鸣器报时; b.可以通过按键来设置闹钟时间和开启/关闭闹钟功能。 以上是基本的电子时钟功能,可以根据实际需求进行扩展和添加其他功能。 步骤三:测试与调试 步骤四:优化与扩展 在基本功能正常运行的基础上,可以对电子时钟进行优化和扩展。添加一些实用的功能,如温湿度显示、日期显示、闹钟音乐选择等,以提高电子时钟的实用性和用户体验。 总结:

51单片机电子时钟设计报告

51单片机电子时钟设计报告 一、引言 电子时钟是一种常见的电子产品,它通过控制数字显示器的数字显示,来实现时间的显示功能。本报告将介绍一种基于51单片机的电子时钟设 计方案。 二、系统架构 本电子时钟系统采用分级结构,分为实时时钟电路、中央处理器、显 示器等核心模块。实时时钟电路模块负责提供系统的时钟信号,中央处理 器负责对时间进行处理和控制,显示器用于显示时间。 三、硬件设计 1.实时时钟电路 实时时钟电路采用DS1302芯片,该芯片集成了时钟实时计数器,能 够提供精确的时钟信号。同时,芯片还内置了电池供电电路,当外部电源 中断时,电子时钟可以通过电池继续工作。 2.中央处理器 中央处理器使用51单片机,它具有较强的计算和控制能力,可以方 便地对时间进行处理和控制。通过与实时时钟电路的通信,中央处理器可 以获取当前时间,并进行各种计算操作。 3.显示器 显示器采用数码管,可以直观地显示时间。通过中央处理器控制,可 以实现小时、分钟、秒钟的显示,并且可以进行亮度的调节。

四、软件设计 1.时钟管理 中央处理器的软件主要负责对时间的管理。它可以从实时时钟电路中 获取当前时间,并根据需要进行时间的累加和更新。同时,中央处理器还 可以通过按键实现时间的手动调节。 2.显示控制 中央处理器通过对数码管的控制,实现时间的显示功能。它可以根据 当前时间的变化,动态地更新数码管的显示内容。同时,还可以通过按键 控制,对数码管的亮度进行调节。 五、系统特点 1.精确性高:采用DS1302芯片实时时钟电路,能够提供精确的时钟 信号,确保时间的准确性。 2.易于操作:中央处理器软件通过按键实现时间的调节,操作简单方便。 3.显示效果好:采用数码管进行显示,显示效果清晰,易于观察时间。 六、应用领域 本电子时钟设计适用于各种需要显示时间的场景,如家庭、办公室、 学校等。 七、总结 本报告介绍了一种基于51单片机的电子时钟设计方案。通过实时时 钟电路提供精确的时钟信号,中央处理器进行时间管理和控制,显示器进

基于51单片机的电子时钟(毕业设计)

内容摘要 电子钟是一种非常广泛的日常计时工具,给人们带来了极大的方便,在社会上也越来越流行。可对年、月、日、时、分、秒进行计时,采用直观的数字显示,可同时显示年、月、日、时、分、秒、温度、时间等信息校准等功能。电子钟主要采用STC89C52单片机为主控核心,DS1302时钟芯片为时钟,LCD 12864显示屏。STC 89C52单片机由宏晶科技有限公司推出,功耗低,电压可采用4-6V电压供电;DS1302时钟芯片是美国DALLAS公司推出的具有细流充电功能的低功耗实时时钟芯片。可对年、月、日、周、时、分、秒进行计时,还具有闰年补偿等多种功能,DS1302使用寿命长,误差小;数显采用12864液晶显示器,可同时显示。显示年、月、日、周、时、分、秒、温度等信息。此外,电子钟还具有时间校准等功能。 关键词: 单片机STC89C52时钟芯片DS1302液晶12864温度

基于单片机的STC数字时钟设计 一、介绍 随着人们生活水平的提高和生活节奏的加快,对时间的要求越来越高,消费者对精准数字计时的需求也越来越大。 21世纪的今天,最具代表性的计时产品是电子万年历,它是现代世界钟表业的第三次革命。第一次是摆锤和游丝的发明。相对稳定的机械振荡频率源将时钟从分类到秒的时间差减少了。代表产品是带有摆锤或游丝的机械钟或手表。第二次革命是石英晶体振荡器的应用,发明了走时精度更高的石英电子钟,将钟表的走时和月差从分类减少到秒。第三次革命是单片机数字计时技术(电子万年历)的应用,将计时产品的时差从分级减少到1/6百万秒,从原来的传统指针计时方式发展到夜光人们每天都更加熟悉。数字显示方式直观清晰,并增加了自动日期、星期、温度等日常辅助信息的显示功能,更符合消费者的生活需求!因此,电子万年历的出现,为计时行业带来了飞跃。 我国生产的电子万年历有很多种。一般来说,研究主要以多功能电子万年历为主,这样万年历除了原有的显示时间和日期的基本功能外,还具有闹铃、闹铃等功能。商家生产的电子万年历更注重品质、价格和实用性,不断改进电子万年历的设计,使其更具市场竞争力。除了采用集成时钟芯片外,还有一种采用MCU的方案,采用STC 89系列单片机组成万年历电路,采用软硬件相结合的方式控制LED数码管的输出,即用于显示年、月、日、时、分、秒,其最大的特点是:硬件电路简单,安装容易实现,软件设计独特,性能可靠。 STC 89C5 2是宏晶科技公司推出的一款小型单片机。它的主要特点是采用了Flash存储器技术,降低了制造成本,其软硬件与MCS-51完全兼容,可以很快被广大用户所接受。 介绍了基于STC 89C52单片机设计的电子钟。可同时显示年、月、日、周、时、分、秒、温度等信息,并具有时间校准等功能。 2、设计要求及方案论证 (1) 设计要求: 基本要求 A.具有年、月、日、周、时、分、秒等功能; B.具有年、月、日、周、时、分、秒校准功能; C.具有温度显示功能; (2) 方案选择 1、单片机的选择 STC89C52单片机的主要特点如下: ●完全兼容 MCS-51 产品指挥系统 ●4K 字节在线编程闪存,1000 个擦写周期

51单片机课程设计电子时钟

课程设计 课程名称:单片机课程设计 题目名称:单片机电子时钟 学院:电信学院 专业:电子工程 姓名:曾代科 学号: 202120313201 指导教师:杨加国 2021年11月7日

一、课程设计名称:51 单片机电子时钟 二、设计方案: 1、经过单片机内部的计数/ 准时器,采用软件编程来实 现时钟计数,一般称为软时钟,这种方法的硬件线路简单, 系统的功能一般与软件设计相关,平时用在对时间精度要求 不高的场合。 2、采用时钟芯片,它的功能富强,功能部件集成在芯 片内部,拥有自动产生时钟等相关功能,硬件本钱相对较高;软件编程简单,平时用在对时钟精度要求较高的场合。 三、设计内容: 这里采用应用广泛的AT89C52作为时钟控制芯片,利用 单片机内部的准时 / 计数器 T0 实现软时钟的目的。第一将 T0 设定工作于准时方式,对机器周期计数形成基准时间〔50ms〕,尔后用另一个准时 / 计数器 T1 对基准时间计数形 成秒,妙计60 次形成分,分计60 形成小时,小时计到12。最后经过数码管把它们的内容在相应的地址显示出来,到达 时、分、秒计时的功能。 其他还要实现对时间的调整功能,89C52 的、、 P1.2 外接三个独立按键,当按下按键时,系统进入调时 间的状态或启动时间显示的功能;当按下P1.1 按键时,对显

示的数码管进行加一的功能;当按下 P1.2 按键时,对显示的 数码管进行减一的功能,到达调整时间的目的。 四、系统软件程序设计 1.主程序 先对显示单元和准时器 / 计数器初始化,尔后重复调用 数码管显示模块和按键办理模块,当有按键按下时,那么转入 相应的功能程序。 2、数码管显示模块 本实验有 8 个数码管,从右到左为妙、横线、分、 横线、时。在本系统中数码管显示采用软件译码动向显示。在 储藏器中第一建立一张显示信息的字段码表,显示时,先 从显示缓冲区中取出显示的信息,尔后经过查表程序在 字段表中查出所显示的信息的断码,从P0 端口输出, 同时在 P2 端口进行数码管显示。 3、准时器 / 计数器 T0 中断效劳程序 T0 用于计时,选中方式一,重复准时,准时时间设为50ms,准时时间到那么中断,在中断效劳程序中用一个计数器 对 50ms 计数,计20 次那么对秒单元加一。秒单元加到60 那么对分单元加一,同时秒单元清0;分单元加到60 那么对时单元加一,同时分单元清 0;时单元加到24 那么对时单元清0,标

51单片机里电子时钟设计原理

51单片机里电子时钟设计原理 单片机是一种集成电路芯片,具有微处理器的所有功能。电子时钟是一种通过数字化方式显示时间的装置,通常由时钟芯片、计时电路、显示电路、报警电路等组成。在51单片机中设计电子时钟,主要包括以下几个方面的原理。 1.时钟芯片选择: 选择一款适合的时钟芯片非常重要。时钟芯片提供了计时的稳定性和精度,并且具有时间数据的存储功能。在51单片机设计中,常常使用DS3231、DS1302等高性能的时钟芯片。 2.计时电路设计: 计时电路是电子时钟的核心部分,它通过计数器实现时间的累加。在51单片机设计中,可以使用定时器和计数器来实现计时功能。通过设定定时器的工作模式和计数值,可以实现从1ms到秒、分、时的计时。 3.显示电路设计: 显示电路用于将计时电路的计时结果以数字形式显示出来。通常使用数码管或液晶显示屏作为显示装置。在51单片机设计中,通过控制数码管或液晶显示屏的引脚,将对应的数字段点亮,实现数字的显示。 4.按键输入设计: 电子时钟通常具有设置时间、调整时间、报警等功能。这些功能需要通过按键来实现。在51单片机设计中,可以使用矩阵按键,通过行列扫描的方式检测按键的按下,并根据按键的不同触发不同的功能。 5.报警电路设计:

电子时钟通常具有报警功能,可通过蜂鸣器或其他音频输出装置实现。在51单片机设计中,通过控制IO口的高低电平输出,控制蜂鸣器的工作 状态,从而实现报警功能。 6.软件设计: 单片机的设计离不开软件的支持。在51单片机设计中,通常使用C 语言编程,通过编写程序来实现各个功能的控制。根据需求,设计相应的 算法和逻辑,实现时间的计算、显示、设置和报警等功能。 以上是51单片机中设计电子时钟的一些原理。通过合理的硬件设计 和软件编程,可以实现功能齐全、稳定可靠的电子时钟。

51单片机电子时钟设计

前言 1957年,Ventura创造了世界上第一个电子表,从而奠定了电子时钟的根底,电子时钟开场迅速开展起来。现代的电子时钟是基于单片机的一种计时工具,采用延时程序产生一定的时间中断,用于一秒的定义,通过计数方式进展满六十秒分钟进一,满六十分小时进一,满二十四小时小时清零。从而到达计时的功能,是人民日常生活补课缺少的工具。现在高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟、石英钟、石英表都采用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调试,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示进而显示时间,减小了计时误差,这种表具有时、分、秒显示时间的功能,还可以进展时和分的校对,片选的灵活性好。 第一章设计目的及要求 二时钟的根本原理分析 利用单片机定时器完成计时功能,定时器0计时中断程序每隔0.01s中断一次并当作一个计数,设定定时1秒的中断计数初值为100,每中断一次中断计数初值减1,当减到0时,那么表示1s到了,秒变量加1,同理再判断是否1min 钟到了,再判断是否1h到了。 为了将时间在LED数码管上显示,可采用静态显示法和动态显示法,由于静态显示法需要译码器,数据锁存器等较多硬件,可采用动态显示法实现LED 显示,通过对每位数码管的依次扫描,使对应数码管亮,同时向该数码管送对应的字码,使其显示数字。由于数码管扫描周期很短,由于人眼的视觉暂留效应,使数码管看起来总是亮的,从而实现了各种显示。

三时钟设计分析 针对要实现的功能,采用AT89S51单片机进展设计,AT89S51 单片机是一款低功耗,高性能CMOS8位单片机,片含4KB在线可编程〔ISP〕的可反复擦写1000次的Flash只读程序存储器,器件采用高密度、非易失性存储技术制造,兼容标准MCS- 51指令系统及80C51引脚构造。这样,既能做到经济合理又能实现预期的功能。 在程序方面,采用分块设计的方法,这样既减小了编程难度、使程序易于理解,又能便于添加各项功能。程序可分为闹钟的声音程序、时间显示程序、日期显示程序,秒表显示程序,时间调整程序、闹钟调整程序、定时调整程序,延时程序等。运用这种方法,关键在于各模块的兼容和配合,假设各模块不匹配会出现意想不到的错误。 首先,在编程之前必须了解硬件构造尤其是各引脚的用法,以及部存放器、存储单元的用法,否那么,编程无从下手,电路也无法设计。这是前期准备工作。第二局部是硬件局部:依据想要的功能分块设计设计,比方输入需要开关电路,输出需要显示驱动电路和数码管电路等。第三局部是软件局部:先学习理解汇编语言的编程方法再根据设计的硬件电路进展分块的编程调试,最终完成程序设计。第四局部是软件画图局部:设计好电路后进展画图,包括电路图和仿真图的绘制。第五局部是软件仿真局部:软硬件设计好后将软件载入芯片中进展仿真,仿真无法完成时检查软件程序和硬件电路并进展修改直到仿真成功。第六局部是硬件实现局部:连接电路并导入程序检查电路,假设与设计的完全一样一般能实现想要的功能。最后进展功能扩展,在已经正确的设计根底上,添加额外的功能!四时钟的实现 A.电路设计 1. 整体设计 此次设计主要是应用单片机来设计电子时钟,硬件局部主要分以下电路模

51单片机电子时钟课程设计

一、设计要求 1、准确计时,以数字形式显示时、分、秒地时间. 2、小时以24小时计时形式,分秒计时为60进位. 3、校正时间功能,即能随意设定走时时间. 4、闹钟功能,一旦走时到该时间,能以声或光地形式告警提示. 5、设计5V直流电源,系统时钟电路、复位电路. 6、能指示秒节奏,即秒提示. 7、可采用交直流供电电源,且能自动切换. 二、设计方案和论证 本次设计时钟电路,使用了ATC89C51单片机芯片控制电路,单片机控制电路简单且省去了很多复杂地线路,使得电路简明易懂,使用键盘键上地按键来调整时钟地时、分、秒,用一扬声器来进行定时提醒,同时使用汇编语言程序来控制整个时钟显示,使得编程变得更容易,这样通过四个模块:键盘、芯片、扬声器、LED显示即可满足设计要求. 2.1、总设计原理框图 如下图所示: 2.2、设计方案地选择 1.计时方案 方案1:采用实时时钟芯片 现在市场上有很多实时时钟集成电路,如DS1287、DS12887、DS1302等.这些实时时钟芯片具备年、月、日、时、分、秒计时功能和多点定时功能,计时数据地更新

每秒自动进行一次,不需要程序干预.因此,在工业实时测控系统中多采用这一类专用芯片来实现实时时钟功能. 方案2:使用单片机内部地可编程定时器. 利用单片机内部地定时计数器进行中端定时,配合软件延时实现时、分、秒地计时.该方案节省硬件成本,但程序设计较为复杂. 2.显示方案 对于实时时钟而言,显示显然是另一个重要地环节.通常LED显示有两种方式:动态显示和静态显示. 静态显示地优点是程序简单、显示亮度有保证、单片机CPU地开销小,节约CPU地工作时间.但占有I/O口线多,每一个LED都要占有一个I/O口,硬件开销大,电路复杂.需要几个LED就必须占有几个并行口,比较适用于LED数量较少地场合.当然当LED数量较多地时候,可以使用单片机地串行口通过移位寄存器地方式加以解决,但程序编写比较麻烦. LED动态显示硬件连接简单,但动态扫描地显示方式需要占有CPU较多地时间,在单片机没有太多实时测控任务地情况下可以采用. 本系统需要采用6位LED数码管来分别显示时、分、秒,因数码管个数较多,故本系统选择动态显示方式. 2.3硬件部分 1、STC89C51单片机介绍 STC89C51单片机是由深圳宏晶公司代理销售地一款MCU,是由美国设计生产地一种低电压、高性能CMOS 8位单片机,片内含8kbytes地可反复写地FlashROM和128bytes地RAM,2个16位定时计数器[5]. STC89C51单片机内部主要包括累加器ACC(有时也简称为A)、程序状态字PSW、地址指示器DPTR、只读存储器ROM、随机存取存储器RAM、寄存器、并行I/O接口P0~P3、定时器/计数器、串行I/O接口以及定时控制逻辑电路等.这些部件通过内部总线联接起来,构成一个完整地微型计算机.其管脚图如图所示.

基于51单片机电子时钟的设计

单片机综合实验报告 项目(03):数字电子时钟(LCD显示) 学号: 姓名: 2016年 4月 30日

目录 设计要求 (3) 设计原理 (3) 一.软件介绍 (4) 1.1Proteus简介 (4) 1.2Keil简介 (4) 二、设计电路图 (5) 2.1AT89C51单片机: (5) 2.1.1.单片机的引脚功能 (5) 三.DS1302实时模块 (6) 3.1时钟芯片DS1302的工作原理 (6) 四.实时时钟电路设计 (6) 五.程序设计 (7) 5.1DS1302时钟程序流程 (7) 5.2LCD显示程序流程 (7) 六.Proteus仿真电路图 (8) 七,部分源程序 (9) 八.设计总结 (10)

设计要求 以AT89C51单片机为核心的时钟,在LCD显示器上显示当前的时间:使用字符型LCD显示器显示当前时间。 显示格式为“时时:分分:秒秒”。 用4个功能键操作来设置当前时间。功能键K1~K4功能如下。 *K1—进入设置现在的时间。 *K2—设置小时。 *K3—设置分钟。 *K4—确认完成设置。 程序执行后工作指示灯LED闪动,表示程序开始执行,LCD显示“00:00:00”,然后开始计时。 设计原理 题目难点在于键盘的指令输入,由于每个按键都具有相应的一种或多种功能,程序中需要大量使用do{}while或while{}循环结构,以检测是否有按键按下。电子时钟一共有4个按键,一个进入调时模式的按键、一个选择调整时间的位置的键、一个加、一个减。进入 调时模式时时间不在走动可以调整日期时间和星期。电路原理设计

是基于小系统包括电源电路、复位电路、按键电路、时钟电路、输出控制电路。 一.软件介绍 1.1PROTEUS简介 Proteus软件是英国Labcenter electronics公司出版的EDA 工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。 1.2KEIL简介 2009年月发布Keil μVision4,Keil μVision4引入灵活的窗口管理系统,使开发人员能够使用多台监视器,并提供了视觉上的表面对窗口位置的完全控制的任何地方。新的用户界面可以更好地利用屏幕空间和更有效地组织多个窗口,提供一个整洁,高效的环境来开发应用程序。新版本支持更多最新的ARM芯片,还添加了一些其他新功能。2011年3月ARM公司发布最新集成开发环境RealView MDK开发工具中集成了最新版本的Keil uVision4,其编译器、调试工具实现与ARM器件的最完美匹配。

51单片机实现电子时钟功能-1602液晶显示

第一章设计要求及系统组成 一、基本操作时序:读状态:输入:RS=L,RW=H,E=H 输出:D0~D7=状态字 写指令:输入:RS=L,RW=L,D0~D7=指令码,E=高脉冲输出:无 读数据:输入:RS=H,RW=H,E= 高脉冲输出:D0~D7数据 写数据:输入:RS=H,RW=L。D0~D7=数据,E=高脉冲输出:无二、、、状态字说明:STA7 D7\ STA6 D6\ STA5 D5 \ STA4 D4 \STA3 D3 \ STA2 D2\\ STA1 D1 STA0-6:当前数据地址指针的数值 STA7:读写操作使能 1表示禁止,0表示允许 对控制器每次进行读写操作之前,都必须进行读写检测,确保STA7为0;但是我们可以进行延时进行实现。 RAM地址映射: LCD 16字*2行 00 01 02 03 04 05 06 07 08 08 09 0A 0B 0C 0D 0E 0F (27) 40 41 42 4F 50 (67) 指令说明:1.初始化设置 1.显示模式设置指令码:00111000(0x38)功能:设置16*2显示,5*7点阵,8位数据接口 必须开显示 2.显示开、关及光标设置指令码:00001DCB,功能:D=1 开显示;D=0 关显示;C=1显示光标;B=1 光标闪烁;B=0 光标不显示 000001NS:功能:N=1当读或写一个字符后地址指针加1,且光标加1;N=0相应的减1;S=1当写一个字符,整屏显示左移(N=1)或右移(N=0),以得到光标不移动而屏幕移动的效果。

S=0 当写一个字符,正屏显示不移动。 数据控制:控制器内部设有一个数据地址指针,用户可通过它们来访问内部的全部80字节RAM 4.2.1 数据指针设置:指令码:80H+地址码(0-27H,第二行开始:40H-67H) 4..2.2 读数据,写数据 其它设置:01H:显示清屏:1.数据指令清零 2 所有显示清零 02H:显示回车:1.数据清零 如何进行连接:实际操作中,液晶接到,第一管脚是D,第二管脚是VCC,15和16是背光,D0-D7是数据口,接到单片机的P0口,P0口接了两个锁存器,液晶,D/A,具有高阻状态的都可以随便接,没有影响,,第六管脚是LCDEN相当于 E,使能信号,它接P3^4,R/W接地,表示低电平,因为我们只进行写操作,RS接2实验板上的P3^5;只需这两端口便足以控制液晶,2和3是偏压信号,一端接地, 接口信号说明:编号:1 VSS(符号表示)电源地(引脚说明)2VDD 电源正极3VL液晶显示偏压信号4RS数据/命令选择端(H/L)5R/W 读写选择端(H/L)6E使能信号7D0 Data 1/0 8D1 Data 1/0 9 D2 Data 1/0 10 D3 Data 1/0 11D4 Data 1/0 12D5 Data 1/0 13D6 Data 1/0 14D7 Data 1/0 15BLK背光源正极16 BLK背光源负极

基于51单片机的电子时钟的设计.

目录 0 前言 (1) 1 总体方案设计 (1) 2 硬件电路设计 (2) 3 软件设计 (3) 4 调试分析及说明 (4) 5 结论 (5) 参考文献 (5) 课设体会 (6) 附录1 电路原理 (8) 附录2 程序清单 (8) 电子钟的设计与仿真 赵伟成都理工大学工程技术学院电气工程及其自动化3班 摘要:传统的数字电子时钟采用了较多的分立元器件,不仅占用了很大的空间而且利用率也比很低,随着系统设计复杂度的不断提高,用传统时钟系统设计方法很难满足设计需求。 单片机是集CPU、RAM、ROM、定时器/计数器和多种接口于一体的微控制器。它体积小、成本低、功能强,广泛应用于智能产品和工业自动化上。而51系列的单片

机是各单片机中最为典型和最有代表性的一种。,本次设计提出了系统总体设计方案,并设计了各部分硬件模块和软件流程,在用C语言设计了具体软件程序后,将各个模块完全编译通过过后,结果证明了该设计系统的可行性。该设计给出了以 AT89C2051为核心,利用单片机的运算和控制功能,并采用系统化LED显示模块实时显示数字的设计方案,适当地解决了实际生产和日常生活中对计时高精确度的要求,因此该设计在现代社会中具有广泛的应用性。 关键字:AT89C2051,C语言程序,电子钟。 0前言 利用51单片机开发电子时钟,实现时间显示、调整和闹铃功能。具体要求如下: (1)按以上要求制定设计方案,并绘制出系统工作框图; (2)按要求设计部分外围电路,并与单片机仿真器、单片机实验箱、电源等正确可靠的连接,给出电路原理图; (3)用仿真器及单片机实验箱进行程序设计与调试; (4)利用键盘输入调整秒、分和小时时刻,数码管显示时间; (5)实现闹钟功能,在设定的时间给出声音提示。 1总体方案设计 该电子时钟由89C51,BUTTON,1602 LCD液晶屏等构成,采用晶振电路作为驱动电路,利用单片机内部定时计数器0通过软件扩展产生的一秒定时,达到时分秒的计时,六十秒为一分钟,六十分钟为一小时,满二十四小时为一天。闹钟和时钟的时分秒的调节是由一个按键控制,而另外一个按键控制时钟和闹钟的时间的调节。 图1 系统结构框图 该电子时钟由STC89C51,BUTTON,1602 LCD液晶屏等构成,采用晶振电路作为驱动电路,晶振电路的晶振频率为12MHZ,使用的定时器/计数器工作方式0,通过软件扩展产生的一秒定时,达到时分秒的计时,60秒为一分钟,60分钟为一小时,24小时为一天,又重00:00:00开始计时。没有按键按键按下时,时钟正常运行,当按下调节时钟按键K1,就会关闭时钟,当按下闹钟按键K3时时钟就会进入设置时间界面,但是时钟不会停止工作,按K2键,,就可以对时钟和闹钟要设置的时间进行

相关主题
文本预览
相关文档 最新文档