当前位置:文档之家› 高速高精度频率测量

高速高精度频率测量

高速高精度频率测量
高速高精度频率测量

基于FPGA的高速高精度频率测量的研究作者:包明赵…文章来源:单片机与嵌入式系统应用点击数:35 更新时间:2007-2-11

作者:包明赵明富郭建华来源:《单片机及嵌入式系统应用》

摘要:以FPGA为核心的高速高精度的频率测量,不同于常用测频法和测周期法。本文介绍的测频方法,不仅消除了直接测频方法中对测量频率需要采用分段测试的局际,而且在整个测试频段内能够保持高精度不变。又由于采用FPGA芯片来实现频率测量,因而具有高集成度、高速和高可靠性的特点。

关键词:频率测量 FPGA 高精度

引言

在电子测量技术中,测频是最基本的测量之一。常用的直接测频方法在实用中有较大的局限性,其测量精度随着被测信号频率的下降而降低,并且对被测信号的计数要产生±1个数字误差。采用等精度频率测量方法具有测量精度,测量精度保持恒定,不随所测信号的变化而变化;并且结合现场可编程门阵列FPGA (Field Programmable Gate Array)具有集成度高、高速和高可靠性的特点,使频率的测频范围可达到0.1Hz~100MHz,测频全域相对误差恒为1/1 000 000,

1 测频原理及误差分析

常用的直接测频方法主要有测频法和测周期法两种。测频法就是在确定的闸门时间Tw内,记录被测信号的变化周期数(或脉冲个数)Nx,则被测信号的频率为:fx=Nx/Tw。测周期法需要有标准信号的频率fs,在待测信号的一个周期Tx内,记录标准频率的周期数Ns,则被测信号的频率为:fx=fs/Ns。这两种方法的计数值会产生±1个字误差,并且测试精度与计数器中记录的数值Nx或Ns有关。为了保证测试精度,一般对于低频信号采用测周期法;对于高频信号采用测频法,因此测试时很不方便,所以人门提出等精度测频方法。

等精度测频方法是在直接测频方法的基础上发展起来的。它的闸门时间不是固定的值,而是被测信号周期的整数倍,即与被测信号同步,因此,测除了对被测信号计数所产生±1个字误差,并且达到了在整个测试频段的等精度测量。其测频原理如图1所示。

在测量过程中,有两个计数器分别对标准信号和被测信号同时计数。首先给出闸门开启信号(预置闸门上升沿),此时计数器并不开始计数,而是等到被测信号的上升沿到来时,计数器才真正开始计数。然后预置闸门关闭信号(下降沿)到时,计数器并不立即停止计数,而是等到被测信号的上升沿到来时才结束计数,完成一次测量过程。可以看出,实际闸门时间τ与预置闸门时间τ1并不严格相等,但差值不超过被测信号的一个周期。

设在一次实际闸门时间τ中计数器对被测信号的计数值为Nx,对标准信号的计数值为Ns。标准信号的频率为fs,则被测信号的频率为

由式(1)可知,若忽略标频fs的误差,则等精度测频可能产生的相对误差为

δ=(|fxc-fx|/fxe)×100% (2)

其中fxe为被测信号频率的准确值。

在测量中,由于fx计数的起停时间都是由该信号的上升测触发的,在闸门时间τ内对fx的计数Nx无误差(τ=NxTx);对fs的计数Ns最多相差一个数的误差,即|ΔNs|≤1,其测量频率为

fxe=[Nx/(Ns+ΔNs)]/fs (3)

将式(1)和(3)代入式(2),并整理得:

δ=|ΔNs|/Ns≤1/Ns=1/(τ·fs)

由上式可以看出,测量频率的相对误差与被测信号频率的大小无关,仅与闸门时间和标准信号频率有关,即实现了整个测试频段的等精度测量。闸门时间越长,标准频率越高,测频的相对误差就越小。标准频率可由稳定度好、精度高的高频率晶体振荡器产生,在保证测量精度不变的前提下,提高标准信号频率,可使闸门时间缩短,即提高测试速度。表1所列为标频在10MHz时闸门时间与最大允许误差的对应关系。

表1 闸门时间与精度的关系

等精度测频的实现方法可简化为图2所示的框图。CNT1和CNT2是两个可控计数器,标准频率(fs)信号从 CNT1的时钟输入端CLK输入;经整形后的被测信号(fx)从CNT2的时钟输入端CLK输入。每个计数器

中的CEN输入端为时钟使能端控制时钟输入。当预置门信号为高电平(预置时间开始)时,被测信号的上升沿通过D触发器的输出端,同时启动两个计数器计数;同样,当预置门信号为低电平(预置时间结束)时,被测信号的上升沿通过D触发器的输出端,同时关闭计数器的计数。

2 硬件设计

在快速测量的要求下,要保证较高精度的测频,必须采用较高的标准频率信号;而单片机受本身时钟频率和若干指令运算的限制,测频速度较慢,无法满足高速、高精度的测频要求。采用高集成度、高速的现场可编程门阵列FPGA为实现高速,高精度的测频提供了保证。

FPGA是20世纪90年代发展起来的大规模可编程逻辑器件,随着EDA(电子设计自动化)技术和微电子技术的进步,FPGA的时钟延迟可达到ns级,结合其并行工作方式,在超高速、实时测控方面有非常广阔的应用前景;并且FPGA具有高集成度、高可靠性,几乎可将整个设计系统下载于同一芯片中,实现所谓片上系统,从而大大缩小其体积。

整个测频系统分为多个功能模块,如信号同步输入、控制部件、分频和计数部件、定时、脉冲宽度测量、数码显示、放大整形和标频信号等模块。除数码管、放大整形和标频信号外,其它模块可集成于FPGA芯片中,并且各逻辑模块用硬件描述语言HDL来描述其功能,如用VHDL 或AHDL来对各功能模块进行逻辑描述。然后通过EDA开发平台,对设计文件自动地完成逻辑编译、逻辑化简、综合及优化、逻辑布局布线、逻辑仿真,最后对 FPGA芯片进行编程,以实现系统的设计要求。

图3所示为测频主系统框图。一片FPGA(EPF10K10LC84)可完成各种测试功能,可利用单片机完成数据处理和显示输出。在标准频率信号为60MHz的情况下,其测量精度可达到1.1×10 -8,即能够显示近8位有效数字。其中A0~A7和B0~B7为两计数器的计数值输出。计数器是32位二进制计数器(4个8位计数值)。单片机通过 [R1,R0]数据读出选通端分别从这两个计数值输出端读出4个8位计数值,根据测频和测脉宽原理公式计算出频和脉冲宽度。STR为预置门启动输入; F/T为测频和测脉宽选择;CH为自校/测频选择;Fa为自校频率输入端;Fs为标准频率信号输入端;Fx为经过放大整形后的被测信号输入端;END为计数结束状态信号。

FPGA中各功能模块如图4所示。

图4中,CH1和CH2为选择器,CH1进行自校/测频选择,CH2进行测频和测脉宽选择。CONTRL1为控制模块,控制被测信号fx和标频信号fs的导通,以及两个计数器(CONTa和CONTb)的计数。CONTa和CONTb为32位计数器,分别以4个8位二进制数输出。

FPGA与单片机AT89C51的接口比较简单。图3中的输入/输出端与单片机连接:A[7..0]与单片机P2端口相连接;B[7..0]与单片机P0口相连接;其它输入/输出端与单片机P3口相连接。

结语

随着EDA技术和FPGA集成度的提高,FPGA不但包括了MCU(微控制器或单片机)特点,并兼有串、并行工作方式和高速、高可靠性以及宽口径适用性等诸多方面的特点。单片机完成的数据处理功能也可集成在FPGA芯片中。基于FPGA的电子系统设计仅仅是各种逻辑模块与IP核的逻辑合成和拼装。测频系统的标准信号频率的提高,可进一步提高测频的精度或缩短测频时间。

一种新型的高精度频率计

摘要:本文介绍了一种利用多周期同步法与量化时延法结合测量频率的方法,在此方法基础上设计的样机测量分辨率达到ns量级,由于使用了CPLD器件,该仪器体积小、成本低。关键词:多周期同步;量化时延;短时间间隔

引言

时间频率测量是电子测量的重要领域。频率和时间的测量已越来越受到重视,长度、电压等参数也可以转化为与频率测量有关的技术来确定。本文通过对传统的多周期同步法进行探讨,提出了多周期同步法与量化时延法相结合的测频方法。

多周期同步法

最简单的测量频率的方法是直接测频法。直接测频法就是在给定的闸门信号中填入脉冲,通过必要的计数电路,得到填充脉冲的个数,从而算出待测信号的频率或周期。在直接测频的基础上发展的多周期同步测量方法,在目前的测频系统中得到越来越广泛的应用。多周期同步法测频技术的实际闸门时间不是固定的值,而是被测信号的整周期倍,即与被测信号同步,因此消除了对被测信号计数时产生的±1个字误差,测量精度大大提高,而且达到了在整个测量频段的等精度测量,其原理框图和波形图如图1所示。

设Na、Nb分别为计数器A和B记得的数值,τ?为闸门时间,则

Na=τ…·fx (1)

Nb=τ…·f0 (2)

计数器A的计数脉冲与闸门的开闭是完全同步的,因而不存在±1个字的计数误差,由式(3)微分可得:

dNb=±1,τ…=Nb/f0 (5)

得到测量分辨率:

dfx/fx=±1/(τ…×f0) (6)

由式(6)可以看出,测量分辨率与被测频率的大小无关,仅与取样时间及时基频率有关,可以实现被测频带内的等精度测量。取样时间越长,时基频率越高,分辨率越高。多周期同步法与传统的计数法测频比较,测量精度明显提高。

在时频测量方法中,多周期同步法是精度较高的一种,但仍然未解决±1个字的误差,主要是因为实际闸门边沿与标频填充脉冲边沿并不同步,如图2所示。

从图2可以得出,Tx=N0T0-△t2+△t1,如果能准确测量出短时间间隔Δt1和Δt2,也就能够准确测量出时间间隔Tx,消除±1个字的计数误差,从而进一步提高精度。

为了测量短时间间隔Δt1和Δt2,通常使用模拟内插法或游标法与多周期同步法结合使用[1],虽然精度有很大提高,但终未能解决±1个字的误差这个根本问题,而且这些方法设备复杂,不利于推广。

要得到精度高,时间响应快,结构简单的频率和时间测量方法是比较困难的。

从结构尽量简单同时兼顾精度的角度出发,将多周期同步法与基于量化时延的短时间间隔测量方法结合,实现了宽频范围内的等精度高分辨率测量。

量化时延法测短时间间隔

光电信号可以在一定的介质中快速稳定的传播,且在不同的介质中有不同的延时。通过将信号所产生的延时进行量化,实现了对短时间间隔的测量。

其基本原理是“串行延迟,并行计数”,而不同于传统计数器的串行计数方法,即让信号通过一系列的延时单元,依靠延时单元的延时稳定性,在计算机的控制下对延时状态进行高速采集与数据处理,从而实现了对短时间间隔的精确测量。其原理如图3所示。

量化时延思想的实现依赖于延时单元的延时稳定性,其分辨率取决于单位延时单元的延迟时间。

作为延时单元的器件可以是无源导线,有源门器件或其他电路。其中,导线的延迟时间较短(接近光速传播的延迟),门电路的延迟时间相对较长。考虑到延迟可预测能力,最终选择了CPLD器件,实现对短时间间隔的测量。

将短时间间隔的开始信号送入延时链中传播,当结束信号到来时,将此信号在延时链中的延时状态进行锁存,通过CPU读取,判断信号经过的延时单元个数就可以得到短时时间间隔的大小,分辨率决定于单位延时单元的延时时间。

一般来讲,为了测量两个短时间间隔,使用两组延时和锁存模块,但实际上,给定的软件闸门时间足够大,允许CPU完成取数的操作,即能够在待测时间间隔结束之前取走短时间隔Δt1对应的延时单元的个数,通过一定的控制信号,可以只用一组延时和锁存单元,这样可以节省

CPLD内部的资源。利用多周期同步与量化时延相结合的方法,

计算公式为:

T=n0t0+n1t1-n2t1 (7)

式(7)中,n0为对填充脉冲的计数值;t0为填充脉冲的周期,即100ns;n1为短时间隔Δt1对应的延时单元的个数;n2为短时间隔Δt2对应的延时单元的个数;t1为量化延迟器件延时单元的延迟量(4.3ns)。这样,利用多周期同步法,实现了闸门和被测信号同步;利用量化时延法,测量了原来测不出来的两个短时间间隔,从而准确地测量了实际闸门的大小,也就提高了测频的精度。

测量结果及分析

把铷频标作为样机和XDU-17型频率计的频标,把频率合成器输出的信号作为被测信号进行测量,其结果如表1所示。

由于频率合成器输出的频率信号最小只能调到10Hz,把XDU-17的测量值作为标准,可以计算出样机测频的精度。

例如,被测信号为15.000010MHz时被测信号为5.00001002MHz时,

从上面的计算可以看出,样机的分辨率已达ns量级,下面从理论分析的角度来说明这一点。前面已经分析过,多周期同步法测频时,它的测量不确定度为:

当输入f0为10MHz,闸门时间为1s时,测量的不确定度为±1×10-7/s。当与量化延时测量与短时间间隔电路相结合时,测量的不确定度可以从下述推导出来。

在采用多周期同步法时,Tx为待测的多周期值,T0为采用的时基周期。

Tx= NT0+△t1-△t2 (9)

与量化延时电路相结合后有:

Tx= NT0+(N1-N2)td±δTx (10)

这里,δTx为测量的不准确度。

对上式微分得:\δTx≤±2td (11)

由(11)式可知,此方法的测量精度取决于td,它的稳定性与大小直接影响测量值的不确定度。所以采用各种方法,计数器可在整个频率量程内实现等精度的测量,而且测量精度有显著提高,测量分辨率提高到4.3ns,且消除了±1个字的理论误差,精度提高了20多倍。

结束语本文将给出了一种新的测频方法。基于此方法的频率计的数字电路部分集成在一片CPLD中,大大减小了整个仪器的体积,提高了可靠性,且达到了很高的测量分辨率。

基于FPGA与DSP的等精度数字频率计设计

发布日期:2007-03-14作者:唐亚平来源:微计算机信息

摘要:本设计根据等精度的多周期同步测频原理,采用Altera公司的FLEX10K10系列FPGA和TI的TMS320VC5402进行硬件电路的设计。各项实测表明,多周期同步测频法是正确、合理和可靠的。

关键词:多周期同步测频法;FPGA;数字信号处理器

频率检测是电子测量领域的最基本也是最重要的测量之一,频率信号抗干扰强,易于传输,可以获得较高的测量精度, 所以测频率方法的研究越来越受到重视。本设计是以FPGA为核心的等精度率数字频率计,采用TI公司的TMS320VC5402 DSP芯片和Altera公司的FLEX10K10系列FPGA,采用多周期同步测频原理,实现了高精度的恒误差频率测量,在使用过程中无需量程切换。经验证,产品性能稳定可靠,达到了较高的测量精度和测量速度。

1.多周期同步测频法原理

频率是周期信号在单位时间内的重复次数,电子计数器可以对一个周期信号发生的次数,进行计数。如果某一信号在T秒时间间隔内的重复次数为N次,则该信号的频率f为

(1)

在直接测频率的基础上发展的多周期同步测频率法,在目前的测频系统中得到越来越多广泛的应用。多周期同步法测频原理如图1所示。

图1 多周期同步法测频原理波形图

首先,由控制线路给出闸门开启信号,此时,计数器并不开始计数,而是等到被测信号的上升沿到来时,来真正开始计数。然后,两组计数器分别对被测信号子和标准信号分别进行计数,要等到被测信号下降沿到来时才真正结束计数,完成一次测量过程。计数器的开闭与被测信号是完全同步的。从图1中可以得到闸门时间不是固定的值,而是被测信号的整周期倍,即与被测信号同步,因而不存在对被测信号计数的±1误差,可得到式(2)

从式(5)中可以看出:(1)测量误差与被测信号频率无关,即实现了被测频带内的等精度测量;(2)增大T或提高f S可以提高测量精度;(3)标准频率误差为d f S/f S,因为晶体稳定度很高,标准频率误差可以进行校准,校准后的标准频率误差可以忽略。

2.设计实现

本系统可以完成频率、周期和占空比的测量,设计的总体电路如图2所示,由DSP主控电路、FPGA计数电路、小信号放大整形电路、串口通信电路、数码显示电路和功能键选择组成。其工作过程是:根据功能键的判键结果(测频率、测周期还是测占空比),DSP发出启动等控制信号和1S的闸门,FPGA完成对闸门的同步和在闸门时间内分别对标准信号和被测信号进行计数,然后将两组计数值(各32位)分8次送给DSP,DSP完成数值转换和相应的浮点运算,最后将运算结果送8位数码管动态显示。通过串口通信和上位机程序,测量过程也可以在PC机控制下完成,并将测量结果送到PC中记录,完成采集功能。

图2基于FPGA的频率测量系统框图

2.1 FPGA程序设计

FPGA程序框图如图所示,由同步门D触发器、标准信号计数器、被测信号计数器和64-8多路选择器构成。其中预置门控信号CL、清零信号CLR、数据输出选择SEL[2..0]由DSP发出,FPGA通过START的下降沿通知DSP计数结束,可以分8次取数

图3 FPGA结构框图

图4是FPGA仿真波形。其中SCLK是标准信号,XCLK是被测信号,EN是计数使能,CLR是清零端,CL是预置门,START为同步门,SL是测频或测占空比功能选择(测频时为1,测占空比时为0),SEL[2..0]是输出数据的通道选择信号。从图中可以看出,标准信号和被测信号的计数值分别是220和11,根据式(3),当标准信号频率为20MHz时,被测信号的频率=11×20M/220=1MHz。

2.2 DSP程序设计

DSP的主要任务是:

(1) 对FPGA整个工作进行控制。

(2) 接收并且保存FPGA的计数值。

(3) 对接收来的数据进行转换和加、减、乘、除运算并且数码显示结果。

(4) 完成与PC机的通信。

程序设计主要包括对FPGA工作方式、DSP的浮点运算、数据接收、中断显示、中断串口通信等。其主程序流程图如图5所示。

由于浮点数具有精度高,数的表示范围宽等特点,本设计采用单精度浮点数进行运算。计算过程中主要用足够长的尾数来保证数据的精度,用阶码来调整数模(绝对值)的大小(即改变小数点位置),并自动进行符号处理。本设计中的浮点运算子程序有:浮点加法子程序、浮点乘法子程序、浮点除法子程序;定点浮点转换子程序有:定点转浮点子程序、浮点转定点子程序;以及数制转换子

程序:定点整数二翻十、定点小数二翻十。由于DSP强大的运算能力,可以保证数据计算过程的快速和准确,从而保证频率计的高精度与较高的测量速度。

3.结束语

本文设计的多周期同步测频法能够直接对被测信号的周期、频率和占空比进行连续测量,经过验证,所测频率范围为1~20MHz,周期范围是0.1μs~1S,占空比为1%~99%,频率测量精度达到了10-5,输入灵敏度<10mV,符合设计要求,只要提高晶振频率就可以提高测频范围,现总结如下:

(1) 多周期同步测频法因为消除了对被测信号计数产生的±1误差,测量精度大大提高,不需要在测量范围内修改量程就可以达到在整个频段的等精度测量。

(2) 利用FPGA的高速、灵活,结合DSP的运算和控制功能。

(3) 高频小信号处理部分受限于宽带放大器的性能,放大电路需要附有高速整形电路。

(4) 由于高频小信号容易受到干扰,可采用净化电源,在布线时合理安排接地。

(5) 由于FPGA具有易失性,又要保证系统能在线升级,设计采用EPC2对其进行上电自动加载和FPGA的在系统配置两种方法。

(6)使用串口与PC机通信,测量既可以PC上位机控制下完成,可以进行测量数据采集。也可脱机运行,工作方式灵活。

光栅尺高速高精度跨尺度位移测量方法解读

光栅尺高速高精度跨尺度位移测量方法 随着集成电路(IC)封装工艺、超精密加工、精密仪器及生物医学工程等众多领域的不断发展,与之相关的设备对运动速度、定位精度的要求越来越高,因此高速高精度定位技术在现代科学技术中起到十分关键的作用。光栅尺具有精度高、抗干扰能力强、寿命长等优点,成为纳米位移测量的主要工具,但由于受光路结构及信号检测电路扫描频率等因素的限制,光栅尺测量的最大允许移动速度与其测量步距成反比。针对高速高精度定位系统的运动特性及光栅尺测量高速位移时难以实现高分辨力的问题,本文提出了适合高速且实现系统末端点高分辨力位移测量的新方法——基于单光栅尺的跨尺度位移测量方法。首先,在分析光栅线性位移测量原理、光栅测量系统误差尤其是计数值切换合成误差的基础上,本文重点研究了光栅尺切换合成原理,分析了光栅尺跨尺度测量方法的可行性,设计了实现计数值切换合成的硬件电路,完成了基于可编程芯片FPGA的光栅信号处理模块设计。其次,对光栅测量系统的误差源进行了分析,根据误差特性使用三种建模方法:多项式曲线拟合方法、分段线性插值方法和三次样条插值方法,对以上三种模型进行了理论分析,通过MATLAB语言及其工具箱环境进行了计算机仿真计算,通过比较三者的精度,分段线性插值的精度最高,且稳定性好,所以使用分段线性插值方法对光栅测量系统的末端定位点进行了测量误差补偿实验。最后,搭建了硬件实验系统,对本测量原理与方法进行实验验证。通过对实验结果的分析,验证了光栅尺跨尺度测量方法的可行性和有效性。在实验中总结了一些经验,为本方法的进一步研究及应用打下了良好基础。 同主题文章 [1]. 薛儒. 新型高速高精度加工中心' [J]. 制造技术与机床. 2000.(09) [2]. 王桂芳. 现代数控机床的测量系统——光栅尺的测量原理和选择标准' [J]. 现代制造. 2002.(19) [3]. 陈义丁. 巧用多路高速高精度F/D芯片FDC9201' [J]. 测控技术. 1993.(05) [4]. 孟建新. 光栅尺污染故障的处理' [J]. 设备管理与维修. 2000.(03) [5]. 吴玉斌,朱勤. 基于虚拟仪器的光栅尺误差动态检测' [J]. 光电技术应用. 2005.(04) [6]. 张百海,柴森春,贾媛媛,江泽民,彭光正. 数据采集系统中光栅尺信号处理方法的研究' [J]. 机床与液压. 2003.(02)

高精度时间间隔测量方法

高精度时间间隔测量方法综述 孙杰潘继飞 (解放军电子工程学院,安徽合肥,230037) 摘要:时间间隔测量技术在众多领域已经获得了应用,如何提高其测量精度是一个迫切需要解决的问题。在分析电子计数法测量原理与误差的基础上,重点介绍了国内外高精度时间间隔测量方法,这些方法都是对电子计数法的原理误差进行测量,并且取得了非常好的效果。文章的最后给出了高精度时间间隔测量方法的发展方向及应用前景。 关键词:时间间隔;原理误差;内插;时间数字转换;时间幅度转换 Methods of High Precision Time-Interval Measurement SUN Jie , PAN Ji-fei (Electronic Engineering Institute of PLA, HeFei 230037, China) Abstract: Technology of time-interval measurement has been applied in many fields. How to improve its precision is an emergent question. On the bases of analyzing electronic counter’s principle and error, this paper puts emphasis upon introducing high precision time-interval measurements all over the world. All these methods aim at electronic counter’s principle error, and obtain special effect. Lastly, the progress direction and application foreground of high precision time-interval measurement methods are predicted. Key Words: time interval; principle error; interpolating; time-to-digital conversion; time-to-amplitude conversion 0引言 时间有两种含义,一种是指时间坐标系中的某一刻;另一种是指时间间隔,即在时间坐标系中两个时刻之间的持续时间,因此,时间间隔测量属于时间测量的范畴。 时间间隔测量技术在通信、雷达、卫星及导航定位等领域都有着非常重要的作用,因此,如何高精度测量出时间间隔是测量领域一直关注的问题。本文详细分析了目前国内外所采用的高精度时间间隔测量方法,指出其发展趋势,为研究新的测量方法指明了方向。 1 电子计数法 1.1 测量原理与误差分析 在测量精度要求不高的前提下,电子计数法是一种非常好的时间间隔测量方法,已经在许多领域获得了实际应用,其测量原理如图1所示:

脉冲激光测距系统中高精度时间间隔测量模块的研究

第26卷第3期2007年6月 红外与毫米波学报 J.I nfrared M illi m .W aves Vol .26,No .3June,2007 文章编号:1001-9014(2007)03-0213-04 收稿日期:2006210228,修回日期:2007203205 Rece i ved da te:2006210228,rev ised da te:2007203205基金项目:中国科学院创新三期项目(11100404K221J W19) 作者简介:吴刚(19812),男,湖北武汉人,中科院上海技术物理研究所硕士研究生,电路与系统专业,现主要从事卫星定位系统中的时钟同步研究. 脉冲激光测距系统中高精度时间间隔测量模块的研究 吴 刚, 李春来, 刘银年, 戴 宁, 王建宇 (中科院上海技术物理研究所,上海 200083) 摘要:时间间隔的测量精度对脉冲激光测距系统的测量精度起决定作用.为此研制了一高精度时间间隔测量模块, 该模块基于专用时间数字转换芯片开发,采用延迟线插入法技术,最大测量时间可高达200m s,测时分辨率最高可达125p s,对应测距分辨率18.75mm,适用于远距离的测量.给出了硬件和软件设计方法以及模块的测试结果.关 键 词:脉冲激光测距;时间间隔测量;时间数字转换;延迟线插入法中图分类号:T N249 文献标识码:A STU DY ON HI GH RES OLUTI ON TI M E I NTERVAL M EASURE M ENT MODU LE I N PU LSE D LASER RANGI NG S YSTE M WU Gang, L I Chun 2Lai, L I U Yin 2N ian, DA IN ing, WANG J ian 2Yu (Shanghai I nstitute of Technical Physics,Chinese Acade my of Sciences,Shanghai 200083,China ) Abstract:The p recisi on of the pulsed laser ranging system was decided by the p recisi on of the ti m e interval measure ment . Theref ore,a high res oluti on ti m e interval measurement module was devel oped .The module is based on the s pecial ti m e 2t o 2digital conversi on chi p which adop ts the delay line inter polati on method .The maxi m u m measuring ti m e of the module is 200m s,and the maxi m u m ti m e res oluti on is 125p s,of which the corres ponding distance res oluti on is 18.75mm.The mod 2ule is es pecially suit f or the large distance measure ment .The hard ware and the s oft w are of the module as well as the testing results are als o p resented . Key words:pulsed laser ranging;ti m e interval measure ment;ti m e 2t o 2digital conversi on;delay line inter polati on method 引言 脉冲激光测距以其峰值功率高、探测距离远、测距精度高、对光源相干性要求低等优点,在工业、航空航天、大地测量、建筑测量和机器人等领域获得了广泛应用.不同的应用对测量范围与精度有不同的要求,在军事上,测量范围从几百米到几十千米,相应的精度要求从几十厘米到几百米;而在航空航天方面,从航天器间的对接到飞船的着陆,精度则要求在毫米量级.测量系统的测量精度主要依赖于接收通道的带宽、激光脉冲的上升沿、信噪比和脉冲激光传输的时间间隔测量精度,其中时间间隔的测量精 度对测距精度起决定作用[1~4] . 到目前为止,时间间隔的测量方法主要有3种: 模拟法、数字法和数字插入法[5] .其中数字插入法 是脉冲激光测距中精度最高的,主要有延迟线插入 法、模拟插入法和差频测相插入法3种.由德国ACAM 公司设计的一种高精度时间数字转换芯片T DC 2GP1采用的就是延迟线插入法技术. 利用T DC 2GP1芯片,设计和开发了一套基于PC I 总线的时间间隔测量模块.实验结果证明,该模块具有测量范围大、线性好、测量精度高的优点.此模块的开发和利用将有利于提高脉冲激光测距的测量精度. 1 脉冲激光测距系统结构 图1为脉冲激光测距系统的方框图.其工作过程是:首先,使整机复原,准备进行测量;同时触发脉冲激光发生器,产生激光脉冲.该激光脉冲有一小部分能量透过分束片,直接送到接收系统,作为计时的

高精度时间间隔测量方法综述_孙杰

综述与评论 计算机测量与控制.2007.15(2)  Com puter Measurement &C ontrol 145 中华测控网chinamca.co m 收稿日期:2006-03-06; 修回日期:2006-05-09。 作者简介:孙 杰(1975-),男,安徽合肥人,讲师,主要从事测控技术方向的研究。 文章编号:1671-4598(2007)02-0141-04 中图分类号:O63;TP273.5 文献标识码:A 高精度时间间隔测量方法综述 孙 杰,潘继飞 (解放军电子工程学院,安徽合肥 230037) 摘要:时间间隔测量技术在众多领域已经获得了应用,如何提高其测量精度是一个迫切需要解决的问题,在分析电子计数法测量原理与误差的基础上,重点介绍了国内外高精度时间间隔测量方法,这些方法都是对电子计数法的原理误差进行测量,并且取得了非常好的效果;最后给出了高精度时间间隔测量方法的发展方向及应用前景。 关键词:时间间隔;原理误差;内插;时间数字转换;时间幅度转换 Methods of High Precision Time -Interval Measurement Sun Jie ,Pan Jifei (Electr onic Eng inee ring Institute o f PL A ,H efei 230037,China ) Abstract :Technology of time -interval m easu rement has been app lied in many field s.H ow to improve its precision is an em ergent ques -tion.On the basis of an alyzing electronic counter 's principle and error ,this paper puts emphasis upon introducing high precision time -in ter -val measu rements all over the w orld.All these methods aim at electronic counter 's principle error ,and ob tain special https://www.doczj.com/doc/7710190340.html,s tly ,the pro -gress direction and ap plication foreg rou nd of high precision tim e -interval measurem ent meth od s are predicted. Key words :time in terval ;prin ciple error ;interpolating ;tim e -to -digital conversion ;time -to -amplitude con version 0 引言 时间有两种含义,一种是指时间坐标系中的某一刻;另一种是指时间间隔,即在时间坐标系中两个时刻之间的持续时间,因此,时间间隔测量属于时间测量的范畴。 时间间隔测量技术在通信、雷达、卫星及导航定位等领域都有着非常重要的作用,因此,如何高精度测量出时间间隔是测量领域一直关注的问题。本文详细分析了目前国内外所采用的高精度时间间隔测量方法,指出其发展趋势,为研究新的测量方法指明了方向。 1 电子计数法 1.1 测量原理与误差分析 在测量精度要求不高的前提下,电子计数法是一种非常好 的时间间隔测量方法,已经在许多领域获得了实际应用,其测量原理如图1所示。 图1 电子计数法测量时间间隔基本原理 量化时钟频率为f 0,对应的周期T 0=1/f 0,在待测脉冲上升沿计数器输出计数脉冲个数M ,N ,T 1, T 2为待测脉冲 上升沿与下一个量化时钟脉冲上升沿之间的时间间隔,则待测脉冲时间间隔T x 为: T x =(N -M ) T 0+T 1-T 2 (1) 然而,电子计数法得到的是计数脉冲个数M ,N ,因此其测量的脉冲时间间隔为: T ′x =(N -M ) T 0(2) 比较表达式(1)、(2)可得电子计数法的测量误差为Δ=T 1-T 2,其最大值为一个量化时钟周期T 0,产生的原因是待测脉冲上升沿与量化时钟上升沿的不一致,该误差称为电子计数法的原理误差。 除了原理误差之外,电子计数法还存在时标误差,分析表达式(2)得到: ΔT ′x =Δ (N -M ) T 0+(N -M ) ΔT 0(3) 比较表达式(3)、(2): ΔT ′x T ′x =Δ(N -M )(N -M )+ΔT 0T 0(4) 根据电子计数法原理,Δ(N -M )=±1,N -M =T ′x /T 0,因此: ΔT ′x =±T 0+T ′x ΔT 0/T 0 (5)T ′x ΔT 0/T 0即为时标误差,其产生的原因是量化时钟的稳定度ΔT 0/T 0,可以看出待测脉冲间隔T x 越大,量化时钟的稳定度导致的时标误差越大。 根据以上分析得出电子计数法具有以下特点: (1)测量范围广,容易实现,且能够作到实时处理。(2)存在时标误差与原理误差,限制了其测量精度。电子计数法是一种成熟的时间间隔测量方法,参考文献[1-3]都有一定的说明,有兴趣的读者可以参阅。 1.2 误差克服途径 时标误差可以采用高稳定度的时钟来克服,比如铷原子频率标准;量化误差的克服有许多方法,也是国内外研究的热点,可以将其分为以下三类。 第一类:提高量化时钟的频率,这带来的问题是时钟频率 DOI 牶牨牥牣牨牰牭牪牰牤j 牣cn ki 牣牨牨牠牬牱牰牪牤tp 牣牪牥牥牱牣牥牪牣牥牥牪

全国大学生电子设计大赛题一等奖数字频率计

2015 年全国大学生电子设计竞赛 全国一等奖作品 设计报告部分错误未修正,软 件部分未添加 竞赛选题:数字频率计(F 题)

摘要 本设计选用FPGA 作为数据处理与系统控制的核心,制作了一款超高精度的数字频率计,其优点在于采用了自动增益控制电路(AGC)和等精度测量法,全部电路使用PCB 制版,进一步减小误差。 AGC 电路可将不同频率、不同幅度的待测信号,放大至基本相同的幅度,且高于后级滞回比较器的窗口电压,有效解决了待测信号输入电压变化大、频率范围广的问题。频率等参数的测量采用闸门时间为1s 的等精度测量法。闸门时间与待测信号同步,避免了对被测信号计数所产生±1 个字的误差,有效提高了系统精度。 经过实测,本设计达到了赛题基本部分和发挥部分的全部指标,并在部分指标上远超赛题发挥部分要求。 关键词:FPGA 自动增益控制等精度测量法

目录

1. 系统方案 1.1. 方案比较与选择 宽带通道放大器 方案一:OPA690 固定增益直接放大。由于待测信号频率范围广,电压范围大,所以选用宽带运算放大器OPA690,5V 双电源供电,对所有待测信号进行较大倍数的固定增益。对于输入的正弦波信号,经过OPA690 的固定增益,小信号得到放大,大信号削顶失真,所以均可达到后级滞回比较器电路的窗口电压。 方案二:基于VCA810 的自动增益控制(AGC)。AGC 电路实时调整高带宽压控运算放大器VCA810 的增益控制电压,通过负反馈使得放大后的信号幅度基本保持恒定。 尽管方案一中的OPA690 是高速放大器,但是单级增益仅能满足本题基本部分的要求,而在放大高频段的小信号时,增益带宽积的限制使得该方案无法达到发挥部分在频率和幅度上的要求。 方案二中采用VCA810 与OPA690 级联放大,并通过外围负反馈电路实现自动增益控制。该方案不仅能够实现稳定可调的输出电压,而且可以解决高频小信号单级放大时的带宽问题。因此,采用基于VCA810 的自动增益控制方案。 正弦波整形电路 方案一:采用分立器件搭建整形电路。由于分立器件电路存在着结构复杂、设计难度大等诸多缺点,因此不采用该方案。 方案二:采用集成比较器运放。常用的电压比较器运放LM339 的响应时间为1300ns,远远无法达到发挥部分100MHz 的频率要求。因此,采用响应时间为4.5ns 的高速比较器运放TLV3501。 主控电路 方案一:采用诸如MSP430、STM32 等传统单片机作为主控芯片。单片机在现实中与FPGA 连接,建立并口通信,完成命令与数据的传输。 方案二:在FPGA 内部利用逻辑单元搭建片内单片机Avalon,在片内将单片机和测量参数的数字电路系统连接,不连接外部接线。 在硬件电路上,用FPGA 片内单片机,除了输入和输出显示等少数电路外,其它大部分电路都可以集成在一片FPGA 芯片中,大大降低了电路的复杂程度、减小了体积、电路工作也更加可靠和稳定,速度也大为提高。且在数据传输上方便、简单,因此主控电路的选择采用方案二。

高精度光学测量微位移技术综述

高精度光学测量微位移技术综述 *** (******大学光电**学院,重庆400065) 摘要 微位移测量技术在科学与工业技术领域应用广泛。光学测量微位移技术与传统测量方法相比,具有灵敏度高、抗电磁干扰能力强、耐腐蚀、防爆、结构简单、体积小、重量轻等优点。本文介绍了几种高精度光学测量微位移的方法,从激光三角法、激光干涉法、光栅尺法、光纤光栅法、X射线干涉法和F-P干涉法几个类别对各种微位移测量原理和仪器进行了系统的分析和比较,并对各种方法的特点进行了归纳,对光学微位移测量方法的发展趋势进行了概括。 关键词:微位移测量,高精度,光学测量,发展趋势 1 引言 随着科学技术的发展,微小位移的检测手段已发展到多种,测量准确度也不断提高。目前,高分辨力微位移测量技术主要分为包含电学、显微镜等测量方法的非光学测量技术和以激光干涉测量为代表的光学测量技术两大类。电学测量技术又包括电阻法、电容和电感法以及电涡流法等,其中,电容和电感法发展迅速,较为常用。目前,三端电容传感器可测出5×10-5μm的微位移,最大稳定性为每天漂移几个皮米[1]。而显微镜测量技术种类较多,主要有高性能透射电子显微镜、扫描电子显微镜、扫描探针显微镜(包括扫描隧道显微镜和原子力显微镜)等二十多个品种[2]。按光学原理不同,光学测量技术可分为激光三角测量[3]、光杠杆法[1,4]、光栅尺测量法[5]、光纤位移测量法[5]和激光干涉法等,测量分辨力在几十皮米到几纳米之间。此外,利用X射线衍射效应进行位移测量的X射线干涉技术近年来备受关注,其最大特点是以晶格结构中的原子间距作为溯源标准,可实现皮米量级的高分辨力,避免了光学干涉仪的各种非线性误差[6]。现将主要的具有纳米量级及以上分辨力的微位移测量技术概括如表1所示。 纵观位移测量技术的发展历程,如果说扫描探针技术为高分辨力位移测量领域带来了革命性变革,那么近几十年来激光技术的发展则将该领域带入了一个崭 1

等精度频率的测量设计报告

等精度频率的测量设计报告 报告人:朱伯程(074100138)周哲远(074100137) 报告摘要:本文介绍了一种同步测周期计数器的设计,并基于该计数器设计了一个高精度的数字频率计。文中给出了计数器的VHDL编码,并对频率计的FPGA实现进行了 仿真验证,给出了测试结果。同时在分析了等精度测频在实现时存在的问题的基 础上,介绍了一种基于自适应分频法的频率测量技术,可达到简化测量电路、提高 系统可靠性、实现高精度和宽范围测量的目的 关键词:频率计VHDL FPGA 周期测量等精度自适应分频 一、实验原理 1.频率测量的几种方法: 工程上测量频率和周期的方法一般可以分为无源测频法、有源比较法、电子计数器3种。无源测频法又可分为谐振法和电桥法,常用于频率粗测,精度在1%左右。有源比较法可分为拍频法和差频法,前者是利用信号线性叠加,产生拍频现象,通过检测零差后现象测频,常用于低频测量,误差在零点几赫;后者是利用两个信号非线性叠加,产生差频现象,通过检测零差现象测频,常用于高频测量,误差为士20Hz左右。可见,以上在测量范围和精度上都难以达到要求。 电子计数器的测频原理实质上以比较法为基础,它将被测信号频率人与时基信号频率相比,两个频率相比得到的结果以数字的形式显示出来。同时,它在测量范围和精度上都能达到要求。 2.等精度测频基本原理 等精度频率测量技术又叫做多周期同步测量技术,它主要由被测信号计数器、参考信号计数器、同步闸门控制器、采样时间控制器以及运算单元等组成,工作原理下图所示。 波形图解:

根据设计任务的要求,因此我们选择用等精度测量法进行系统设计。 二、实验任务与要求 (一)任务设计一个简易等精度频率计。 (二)要求 a.测量范围信号:方波幅度:TTL电平; 频率:1Hz~1MHzb.测试误差≤0.1%(全量程) * 闸门时间:~1s,响应时间:~2s乘除运算: 单片机、FPGA、计算器计算 三、系统总体方案设计 根据测频过程的思路,可编写相应的软件。测频程序流程图下图所示: 根据流程图与要求,本实验的需要注意的地方: 1.计数器的位数。由于要测量的频率范围为1Hz~1MHz。所以可以设置计数器位数为20位。对于基准信号的频率,选用1MHz的标准脉冲信号。 2.分频器。首先要进行2分频。(供粗测使用)。再进行任意分频,供精测使用。 3.锁码器。为的是稳定计数器的最后数据。 4.在第一次计数完成之后,要能自动对计数器进行清零。

利用相位估计算法实现ps量级的高精度时间间隔测量

万方数据

万方数据

万方数据

万方数据

2630仪器仪表学报第29卷 图5高精度时IbJ删隔测赶系统样机实物ng5ThephofoofthelimeinlervaImeasuremenIpmmfype 4.2测试结果 网6给fI{了采样率为l【)oMs/s,量化位数为14比特时,通道l(CHl)干¨通道2(cH2)进行的100次测量结果。 此外,表2给出厂上文提到的3种情况下的各通道的槲位(时延)估计精度和时间J’日J隔测量精度。由表中的数据,可以得出如F结论: 1)单通道相位(时间)测量精度和双通道时间间隔测莆精度均在lOps左右,三种情况的差异不太明显。相对来说,时间间隔测量精度在100Ms/s采样率、14比特量化的最高,优于lOps;在100Ms/s采样率、10比特量化时最低,略微超过10ps。 2)实验结果基本上体现不出3种情况下的精度差异,均低于表l的理论精度。这是冈为单通道相位(时间)的估计结果受触发误差和被测频标信号抖动的影响。这两个误差约为10ps,远大于相位(时间)估计误差。 3)作为双通道差的时间间隔测量结果中消除了被测频标信号抖动的影响,应该精度高于单通道测量结果。但是}}1于实验系统巾两块采集卡之问时钟同步存在一定抖动,降低了测量结果的精度,凶而时间问隔的测量精度约为lOps左右。 图6测量结果曲线(100MS/8采样率、14比特最化)¨g.6Phaseand“mejnleⅣalmeasurementresults (samI'lingrate100MS/s,】4b) 表2三种采样率下样机精度对比(厶r-10MHz,Ⅳ=l0“) Table2Accuracycompar勘nunderthr托c衄di60陋(矗f-10MHz.~=l024) 5结论 从实验数据与理论结果对比,可以发现由于实验系统各环节引入的噪声,使得测试结果难以反映3种测试情况的差异。综合来看,实验系统达到了lOps的测肇精度。 由于采用两块数据采集卡进行实验,两块卡之间的采样时钟抖动抬高了测量系统的本底噪声。下一步实验中将研制专用的数据采集和处理系统,在一块PCB上完成时钟的分配,数据的采集和相位的实时解算,以达到小型化、实时性和更高的精度;同时降低系统造价。如果对触发抖动和采样时钟的抖动处理得比较好,有望达到1ps精度。 此外,还需要进一步将相位(时延)估计算法移植到FPGA上实现,做到实时处理采集数据。这样一来系统的测量速率主要由数据采集决定,对于1024点F盯,25Ms/s时数据采集时间为4.096×10~s,则最高测黾速牢可以做到2,4414×104Hz。进一步提高采样率或者降低F丌点数,还可以提高测量速率。如果从实际需求出发.还可以降低FfTr的点数,比如将Ⅳ降为64,采样率f为25Ms/s.比特位数14b,可以得到的理论测量精度仍然优于0.2 ps。万方数据

时间间隔测量技术综述

高精度时间间隔测量方法综述 孙 杰 潘继飞 (解放军电子工程学院,安徽合肥,230037) 摘要:时间间隔测量技术在众多领域已经获得了应用,如何提高其测量精度是一个迫切需要解决的问题。在分析电子计数法测量原理与误差的基础上,重点介绍了国内外高精度时间间隔测量方法,这些方法都是对电子计数法的原理误差进行测量,并且取得了非常好的效果。文章的最后给出了高精度时间间隔测量方法的发展方向及应用前景。 关键词:时间间隔;原理误差;内插;时间数字转换;时间幅度转换 Methods of High Precision Time-Interval Measurement SUN Jie , PAN Ji-fei (Electronic Engineering Institute of PLA, HeFei 230037, China ) Abstract: Technology of time-interval measurement has been applied in many fields. How to improve its precision is an emergent question. On the bases of analyzing electronic counter ’s principle and error, this paper puts emphasis upon introducing high precision time-interval measurements all over the world. All these methods aim at electronic counter ’s principle error, and obtain special effect. Lastly, the progress direction and application foreground of high precision time-interval measurement methods are predicted. Key Words: time interval; principle error; interpolating; time-to-digital conversion; time-to-amplitude conversion 0引言 时间有两种含义,一种是指时间坐标系中的某一刻;另一种是指时间间隔,即在时间坐标系中两个时刻之间的持续时间,因此,时间间隔测量属于时间测量的范畴。 时间间隔测量技术在通信、雷达、卫星及导航定位等领域都有着非常重要的作用,因此,如何高精度测量出时间间隔是测量领域一直关注的问题。本文详细分析了目前国内外所采用的高精度时间间隔测量方法,指出其发展趋势,为研究新的测量方法指明了方向。 1 电子计数法 1.1 测量原理与误差分析 在测量精度要求不高的前提下,电子计数法是一种非常好的时间间隔测量方法,已经在许多领域获得了实际应用,其测量原理如图1 量化时钟频率为 0f ,对应的周期001f T =,在待测脉冲上升沿计数器输出计数脉冲个数N M ,,1T ,2T 为待测脉 冲上升沿与下一个量化时钟脉冲上升沿之间的时间间隔,则待测脉冲时间间隔x T 为: ()210T T T M N T x -+?-= (1) 然而,电子计数法得到的是计数脉冲个数N M ,,因此其测量的脉冲时间间隔为: ()0' T M N T x ?-= (2) 比较表达式(1)(2)可得电子计数法的测量误差为21T T -=?,其最大值为一个量化时钟周期0T ,产生的原因是待 测脉冲上升沿与量化时钟上升沿的不一致,该误差称为电子计数法的原理误差。 除了原理误差之外,电子计数法还存在时标误差,分析表达式(2)得到: ()()00'..T M N T M N T x ?-+-?=? (3) 比较表达式(3)(2): ()()00 ''T T M N M N T T x x ?+--?=? (4) 根据电子计数法原理,()1±=-? M N ,0'T T M N x =-,因此: 00'0'T T T T T x x ??+±=? (5) 00'T T T x ??即为时标误差,其产生的原因是量化时钟的稳定度00T T ?,可以看出待测脉冲间隔x T 越大,量化时钟的稳 定度导致的时标误差越大。 作者简介:孙杰: (1975—),男(汉族),安徽合肥人,解放军电子工程学院讲师 潘继飞:(1978—),男(汉族),安徽凤阳人,解放军电子工程学院信号与信息处理专业博士生

等精度频率计的实验报告

数字频率计 摘要 以FPGA(EP2C8Q208C8N)为控制核心设计数字频率计,设计采用硬件描述语言Verilog 该作品主要包括FPGA控制、数码管模块、信号发生器、直流电源模块、独立按键、指示灯模块。主要由直流电源供电、数字信号发生器输出信号,FPGA 控制信号的采集、处理、输出,数码管显示数据,按键切换档位,指示灯显示档位。作品实现了测频、测周、测占空比,能准确的测量频率在10Hz 到100kHz之间的信号。 关键字: 频率计等精度 FPGA (EP2C8Q208C8N)信号发生器Verilog语言

一、系统方案论证与比较 根据题目要求,系统分为以下几个模块,各模块的实现方案比较选择与确定如下: 1.主控器件比较与选择 方案一:采用FPGA(EP2C8Q208C8N)作为核心控制,FPGA具有丰富的I/O 口、内部逻辑和连线资源,采集信号速度快,运行速度快,能够显示大量的信息,分频方便。 方案二:采用SST89C51作为主控器件,虽然该款单片机较便宜,但运行速度较慢,不适合对速度有太大要求的场合,并且不带AD,增加了外围电路。 综上所述,主控器件我选择方案一。 2.测量方法的比较与选择 方案一:采用测频法测量。在闸门时间内对时钟信号和被测信号同时计数,由于在闸门闭合的时候闸门时间不能是被测信号的整数倍,导致计数相差为一个被测信号时间,所以测频法只适合频率较高的测量。 方案二:采用测周法测量。用被测信号做闸门,在闸门信号内对时钟信号计数,由于在闸门闭合的时候闸门时间不能是时钟信号的整数倍,导致计数相差为一个时钟信号时间,所以测周法只适合较低频率的测量。 方案三:采用等精度法和测周法结合的方法。用等精度发测量1KHZ以上的频率,测周法测量1KHZ一下的频率。这种方法取长补短,既能准确的测高频又能测低频。 综上所述,测量方法我选用方案三。 3. 界面显示方案的选择 方案一:采用数码管显示,控制程序简单,价格便宜,显示直观。 方案二:液晶5110,虽然体积小,可以显示各种文字,字符和图案。 考虑到数码管完全可以满足数据显示要求,所以显示部分我选用方案一。 二、理论分析与计算 1、键盘设计 系统中我们采用独立键盘,用2个I/O控制2个键。原理是将2个I/O口直接接键盘的2个引脚,低电平有效,这种键盘的优点反应的速率快。 2、计算公式 (1)测频: 1khz以上:被测频率=时钟频率*(被测频率计数/时钟频率计数) 1khz以下:被测频率=时钟频率/(时钟频率在被测信号高电平计数+时钟频率在被测信号低电平计数)

高精度光学测量微位移技术综述

word格式文档 高精度光学测量微位移技术综述 *** (******大学光电**学院,重庆400065) 摘要 微位移测量技术在科学与工业技术领域应用广泛。光学测量微位移技术与传统测量方法相比,具有灵敏度高、抗电磁干扰能力强、耐腐蚀、防爆、结构简单、体积小、重量轻等优点。本文介绍了几种高精度光学测量微位移的方法,从激光三角法、激光干涉法、光栅尺法、光纤光栅法、X射线干涉法和F-P干涉法几个类别对各种微位移测量原理和仪器进行了系统的分析和比较,并对各种方法的特点进行了归纳,对光学微位移测量方法的发展趋势进行了概括。 关键词:微位移测量,高精度,光学测量,发展趋势 1 引言 随着科学技术的发展,微小位移的检测手段已发展到多种,测量准确度也不断提高。目前,高分辨力微位移测量技术主要分为包含电学、显微镜等测量方法的非光学测量技术和以激光干涉测量为代表的光学测量技术两大类。电学测量技术又包括电阻法、电容和电感法以及电涡流法等,其中,电容和电感法发展迅速,较为常用。目前,三端电容传感器可测出5×10-5μm的微位移,最大稳定性为每天漂移几个皮米[1]。而显微镜测量技术种类较多,主要有高性能透射电子显微镜、扫描电子显微镜、扫描探针显微镜(包括扫描隧道显微镜和原子力显微镜)等二十多个品种[2]。按光学原理不同,光学测量技术可分为激光三角测量[3]、光杠杆法[1,4]、光栅尺测量法[5]、光纤位移测量法[5]和激光干涉法等,测量分辨力在 专业资料整理

几十皮米到几纳米之间。此外,利用X射线衍射效应进行位移测量的X射线干涉技术近年来备受关注,其最大特点是以晶格结构中的原子间距作为溯源标准,可实现皮米量级的高分辨力,避免了光学干涉仪的各种非线性误差[6]。现将主要的具有纳米量级及以上分辨力的微位移测量技术概括如表1所示。 纵观位移测量技术的发展历程,如果说扫描探针技术为高分辨力位移测量领域带来了革命性变革,那么近几十年来激光技术的发展则将该领域带入了一个崭新的时代。由表1可见,目前电容传感器和SPM的测量分辨力也很高,但它们的共同缺陷是当溯源至国际标准长度单位时,必须借助激光干涉仪等方法进行标定和校准。根据1983年第17次度量大会对“米”的新定义,激光干涉法对几何量值溯源有着天然优越性,同时具有非接触测量、分辨力高、测量速度快等优势。本文将对目前主要的光学微位移测量技术介绍和比较分析。 表1 常用微位移测量技术 仪器种类分辨力/nm 测量范围 电容传感器0.05-2 10nm-300μm 电感传感器 5 10μm SPM 0.05 1-10μm 激光三角测头 2.5 100-500μm 光纤位移传感器 2.5 30-100μm 双频激光干涉仪0.1 >10m 光栅尺0.1-10 70-200mm X射线干涉仪0.005 200μm F-P干涉仪0.001 5nm-300μm 2 光学微位移测量技术概述 2.1 激光三角法微位移测量技术 随着工业测量领域的不断扩展以及对测量精度和测量速度的不断提高,传统的接触式测量已经无法满足工业界的需求。而非接触测量由于其良好的精确性和

电力系统频率的高精度测量方法研究

电力系统频率的高精度测量方法研究 频率是电力系统和电气设备的重要运行参数,频率测量是电力系统和电气设备运行、监测、控制以及继电保护的基础。本文简单地介绍了测量电力系统频率的常用方法,对如何利用傅立叶算法计算电力系统频率进行了详细说明,以及对误差进行了分析。通过分析说明该算法选择适当的窗函数或者对采样间隔进行自适应调整可以满足高速、精确的测量要求。 标签:频率测量电压信号窗函数 0 引言 电能是当今世界主要能源之一,它的质量标准是以频率、电压和波形来衡量的。电能质量的好坏直接影响工农业生产和人民的生活。因此,正确地进行系统频率、电压管理,保证合格的电能质量,是相当重要的。 频率是检验电能生产质量的指标之一,也是衡量电力系统运行状态的重要参数。它反映了负荷与电源之间的动态能量平衡。在电力系统中,当系统电源出力低于负荷标称频率下的功率消耗,且系统热备用容量明显不足时,系统将由于有功不足导致电源机组低速运转而使系统频率下降,如不采取有效措施,将导致机组损坏、系统瓦解的重大恶性事故。因而电力系统运行中的主要任务之一,就是对频率进行监视和控制。同时,国民经济对电力供应的依赖性愈来愈强,电力用户对电能质量的要求愈来愈严格;从而,电力生产对电力系统频率测量提出了更高的要求。 本文介绍了测量电力系统频率的常用方法,对如何利用傅立叶算法计算电力系统频率进行了详细说明,并对误差进行了分析。通过分析说明该算法选择适当的窗函数或者对采样间隔进行自适应调整可以满足高速、精确的测量要求。 1 傅立叶算法 1.1 傅立叶算法的基本原理首先假设系统电压信号仅含基频分量,系统的额定基频为采样频率为f0,系统的实际频率为f=f0+△f,则电压信号可表示为: (1) 令,则 (2) 用离散差分方程代替(1-2)式的求导,并取时间间隔为一个测量周期T0=1/f0,得,则

全国大学生电子设计大赛F题一等奖数字频率计

2015 年全国大学生电子设计竞赛 全国一等奖作品
设计报告 部分错误未修正,软 件部分未添加
竞赛选题:数字频率计(F 题)
1 / 10

摘要
本设计选用 FPGA 作为数据处理与系统控制的核心,制作了一款超高精度 的数字频率计,其优点在于采用了自动增益控制电路(AGC)和等精度测量法, 全部电路使用 PCB 制版,进一步减小误差。
AGC 电路可将不同频率、不同幅度的待测信号,放大至基本相同的幅度, 且高于后级滞回比较器的窗口电压,有效解决了待测信号输入电压变化大、频率 范围广的问题。频率等参数的测量采用闸门时间为 1s 的等精度测量法。闸门时 间与待测信号同步,避免了对被测信号计数所产生±1 个字的误差,有效提高了 系统精度。
经过实测,本设计达到了赛题基本部分和发挥部分的全部指标,并在部分指 标上远超赛题发挥部分要求。
关键词:FPGA 自动增益控制 等精度测量法
1 / 10

目录
摘 要....................................................................................................................1 目录........................................................................................................................ 2 1. 系统方案...................................................................................................3
1.1. 方案比较与选择................................................................................3 1.1.1. 宽带通道放大器.........................................................................3 1.1.2. 正弦波整形电路.........................................................................3 1.1.3. 主控电路.....................................................................................3 1.1.4. 参数测量方案.............................................................................4
1.2. 方案描述............................................................................................4 2. 电路设计...................................................................................................4
2.1. 宽带通道放大器分析........................................................................4 2.2. 正弦波整形电路................................................................................5 3. 软件设计...................................................................................................6 4. 测试方案与测试结果...............................................................................6 4.1. 测试仪器............................................................................................6 4.2. 测试方案及数据................................................................................7
4.2.1. 频率测试.....................................................................................7 4.2.2. 时间间隔测量.............................................................................7 4.2.3. 占空比测量.................................................................................8 4.3. 测试结论............................................................................................9 参考文献................................................................................................................ 9
2 / 10

相关主题
文本预览
相关文档 最新文档