当前位置:文档之家› 07比赛信号发生器设计报告

07比赛信号发生器设计报告

07比赛信号发生器设计报告
07比赛信号发生器设计报告

目录

一、系统设计--------------------------------------------------------------------------------- 1

1、设计要求--------------------------------------------------------------------------- 1

1.1设计任务------------------------------------------------------------------------- 1

1.2设计要求---------------------------------------------------------------------- -- 1

1.2.1基本要求------------------------------------------------------------------ 1

1.2.2发挥部分------------------------------------------------------------------ 1

2、总体设计方案------------------------------------------------------------------------ 1

2.1总体方案设计-------------------------------------------------------------------- 1

2.2各部分的设计-------------------------------------------------------------------- 2

2.2.1信号发生器的选择--------------------------------------------------------- 2

2.2.2芯片的选择---------------------------------------------------------------- 2

2.2.3波段控制的选择------------------------------------------------------------ 2

2.2.4电源的选择------------------------------------------------------------------ 3

二、主要单元电路设计---------------------------------------------------------------------- 3

1.信号发生器的设计---------------------------------------------------------------- 3

2.电源电路的设计------------------------------------------------------------------- 4

3.功率放大电路的设计------------------------------------------------------------- 5

4.波段选择电路的设计------------------------------------------------------------- 5

5.波形选择的设计------------------------------------------------------------------- 5

三、系统软件的设计------------------------------------------------------------------------ 6

1信号控制的流程--------------------------------------------------------------------- 6 2键盘扫描子程序--------------------------------------------------------------------- 6 3键值处理子程序--------------------------------------------------------------------- 6 4主程序--------------------------------------------------------------------------------- 7

四、系统调试--------------------------------------------------------------------------------- 7

五、总结--------------------------------------------------------------------------------------- 7 参考文献--------------------------------------------------------------------------------------- 8 附录1、元器件清单------------------------------------------------------------------------- 8 附录2、程序清单---------------------------------------------------------------------------- 10 附录3、系统电路图------------------------------------------------------------------------ 13 附录4、系统使用说明--------------------------------------------------------------------- 14

摘要

本系统采用89C51单片机作为信号发生器的控制核心。控制单片集成芯片MAX038,从而产生正弦波、方波和三角波,通过键盘的控制来实现波段的切换和波形的选择,还可通过键盘改变输出信号的频率,输出采用AD811高速运放功率放大,使之具有较强的带负载能力。本系统还具有直观的显示界面和简单的

功能按键,操作容易。基于可靠的硬件设计和抗干扰的双电源供电方案,加之采用稳定的软件控制,实现了对信号发生很好的控制。另外使用了彩灯指示和去干扰等功能使本设计更加完善。

关键字:信号发生器、MAX038、单片机

一、系统设计

1、设计要求

1.1设计任务

设计并制作一台信号发生器,使之能产生正弦波,方波和三角波信号,其系统框图如图1所示。

1.2.1基本要求

(1)输出信号频率在100Hz~100kHz范围内可调,输出信号频率稳定度优于0.001;

(2)在1kΩ负载条件下,输出正弦波信号的电压峰-峰值Vopp在0~5V 范围内可调;

(3)信号发生器能产生正弦波、方波、三角波三种波形;

(4)输出信号波形无明显失真;

(5)自制稳压电源。

1.2.2 发挥部分

(1)将输出信号频率范围扩展为10Hz~1MHz,输出信号频率可分段调节:在10Hz~1Kz范围内步进间隔为10Hz;在1KHz范围内步进

间隔为1KHz。输出信号频率值可通过键盘进行设置;

(2)在50Ω负载条件下,输出正弦波信号的电压峰-峰值Vopp在0~5V 范围内可调,调节步进间隔为0.1V,输出信号的电压值可通过键

盘设置;

(3)可实时显示信号的类型、幅度、频率和频率步进值;

(4)其他。

2、总体设计方案

2.1总体方案的选择

方案一:使用集成函数发生器芯片ICL8038。

ICL8038能输出方波、三角波、正弦波和锯齿波四种不同的波形,将他作为正弦信号发生器。它是电压控制频率的集成芯片,失真度很低。可输入不同的外部电

压来实现不同的频率输出。为了达到数控的目的,可用高精度DAC来输出电压以控制正弦波的频率。

方案二:锁相环频率合成器(PLL)

锁相环频率合成器(PLL)是常用的频率合成方法。锁相环由参考信号源、鉴相器、低通滤波器、压控振荡器几个部分组成。通过鉴相器获得输出的信号FO与输入信号Fi的相位差,经低通滤波器转换为相应的控制电压,控制VCO输出的信号频率,只有当输出信号与输入信号的频率于相位完全相等时,锁相环才达到稳定。如果在环路中加上分频系数可程控的分频器,即可获得频率程控的信号。

由于输出信号的频率稳定度取决于参考振荡器信号fi ,参考信号fi 由晶振分频得到,晶振的稳定度相当高,因而该方案能获得频率稳定的信号。一般来说PLL的频率输出范围相当大,足以实现1kHz-10MHZ的正弦输出。如果fi=100Hz只要分频系数足够精细(能够以1步进),频率100Hz步进就可以实现。

方案三:直接数字频率合成(DDS)

DDS是一种纯数字化方法。它现将所需正弦波一个周期的离散样点的幅值数字量存入ROM中,然后按一定的地址间隔(相位增量)读出,并经DA转换器形成模拟正弦信号,再经低通滤波器得到质量较好的正弦信号。但是我们所要的是高频信号。

方案四、利用单片集成芯片MAX038的函数发生器,用单片机控制,能产生多种波形,达到较高的频率,且易于调试。故选择方案四。

本题采用MAX038作为信号发生部分,AT89C51作为控制部分,系统总体框图如图2

图2

2.2芯片的选择

方案一:采用SPCE061A作为控制核心。SPCE061A具有丰富的资源,指令周期短,工作速率快,低功耗等优点。但是价格比较昂贵,不易控制。

方案二:采用传统的89C51作为控制核心。51单片机具有价格低廉,使用简单,能够满足对要求不高的信号控制系统。

综上所述,拟选择方案二。

2.3波段控制的选择

方案一:采用电子开关来实现波段的选择。价格便宜,操作简单,但是容易产生电干扰,对电路有一定的影响。

方案二:采用继电器来实现波段的选择。电路易于控制,能够实现电路的自动控。

拟采用方案二。

2.4电源的选择

方案一:采用单电源供电:能够产生单一的稳定电源,但是在现在的电子电路中,单一的电源供电往往是不够的。

方安二:采用双电源供电:能够产生两种不同的电源,基本上解决一般电路的需求

方案三:采用多电源供电:能够在一个电路中同时产生多种不同的电源,其原理和方案二基本相同,但是解决不同电源的要求。

综上所述,采用方案三。 二、主要单元电路设计

1信号发生器及输入控制电路设计

信号发生器主要采用MAX038型高频精密信号发生器专用集成块,可编程恒流源是由键盘、单片机、数字/模拟转换器、电压/电流转换器组成,通过键盘改变振荡器输出频率,单片机、计数器、LED显示等组成精度频率计,显示信号源的输出频率。

MAX038是Maxim 公司生产的一种高速函数发生器,工作频率范围为

0.1Hz~20MHz ,扫频范围可达350倍,引脚图见图3。

MAX038使用±5V 的电源。输出幅度为2Vpp ,输出阻抗的典型值为0.1Ω,可直接驱动100Ω的负载。输出的波形由地址A0、A1的输入数据进行选择:A1为1、A0任意,输出波形为正弦波;A0、A1均为0时,输出波形为方波;A1为0、A0为1时,输出波形为三角波。

振荡频率由Cosc 引脚的电容量和Iin 引脚的电流决定。在Fadj 引脚接地时,Iin 引脚的电流变化范围为2.5~750μA ;而Fadj 引脚通过一个12k Ω电阻接地时,其电流的变化范围为1.25~400μA 。当Iin 引脚的电流在10~400μA 范围变化时,电路可以获得最佳的工作性能。其实当Fadj 引脚的电压在±2.4V 范围变化时,振荡频率还可以有±70%的变化,据此可以对振荡频率进行精确的调整。信号发生器及输入控制电路设计电路原理图见附录3-1图。

通过对MAX038 Cosc 引脚的接地电容切换来完成自动波段切换。频率值的输入采用直接控制。本系统将单片机89C51 P1口的四位通过驱动电路ULN2003后送到继电器构成波段切换电路,以实现波段的程控切换见附图3-3。

输出波形的频率由引脚10Iin 的电流、引脚5 Cosc 的电容量以及引脚8Fadj 的电压决定。当引脚8Fadj 接地时,输出波形的频率由以下公式给定: Cosc Iin f /0

Vin 为DAC 的输出电压,Rin 为Iin 引脚的输入电阻。当Rin 为25k Ω并且Vin 在0.5~5V 变化时,电流Iin 的变化范围为20~200μA

,即提供每一波段所

图4 ±2.5V 的基准电源

图3 MAX038引脚图

需的10倍扫频范围(波段1除外)。电容Cosc容量的选择一般以Iin=100μA 为基准。由于采用12位的DAC,则各波段的步进率可选为各波段低端频率的

0.25%,各波段分配的频率范围、电容Cosc的容量选择以及步进率如表1所示.

表1 MAX038波段分配、电容量选择和步进率

2电源电路设计

根据电路设计的需求,要多电源供电,电源产生电路如图5所示。

由于大多数芯片的工作电压是±12V,其他各芯片工作电压为±5V,输入电压为+15V—20V,因此选用LM7812、LM7805H、LM7912和LM7905将电压稳压在±12V和±5V。芯片的输入输出端与地之间连接大容量的滤波电容,靠近芯片的输入引脚家小容量高频电容以抑制芯片自激,输入引脚端连接高频电容以减小高频噪声。

图5 电源原理图

电源的各单元电路分别在几块PCB板上,制版时,元器件排放尽可能靠近集成电路的管脚,特别是振荡回路走线尽可能短,电路板空白处大面积接地,以减小分布参数对电路的影响,其中低通滤波器,压控振荡器和功率放大器做在一块板子上,并用金属盒屏蔽,以隔离数字电路部分的谐波,能有效地防止谐波频率干扰,提高输出信噪比。

3功率放大电路设计

由于MAX038的输出信号为恒定的2V(p-p),且输出电流不高,所以必须在输出级至少有一级的放大电路来提供足够的输出电压和电流,以满足一般使用要求。输出放大电路是本信号发生器研制中主要的难点之一

IC7

OUT

OUT IC8

信号放大输出和直流偏移调节电路如图6所示,AD811被接成增益为6.1倍的正向放大电路,输出幅度达12V(p-p),并具有50Ω的输出电阻。直流偏移调节部分由OP07高精度运放构成,它将直流基准电压分压和缓冲驱动后送入AD811的反相输入端,直流偏移范围是-6~+6V。

4.波段选择电路设计

在电路中要求对输入的信号进行适时的波段切换,通过芯片ULN2003对五个双开关继电器的控制,通过对地电容的不同,使输入频率不同,进而来实现波段的选择,同时在每个继电器上安装一个发光二极管作为显示灯.由于电路图占有一定的篇幅,故将其放在附录部分.电路图见附录图3-2

5波形选择电路的设计

波形通过键盘的控制,再通过芯片74LS138控制其显示,A0,A1作为控制信号的输出端,当A0,A1都为0时,输出为正弦波;当A0为1,A1为0时,输出为方波;当A0,A1都为1是输出为正弦波.电路图见附录图3-3控制原理图.

三、系统软件设计

系统软件设计采用汇编语言,对单片机进行编程实现各项功能。

程序是在Windows 98环境下采用KeiluVision 2软件编写的,可以实现对输入信号的处理、控制,达到题目的要求后,送到显示部分。主程序主要起到一个到向和决策功能,决定输出什么波形,输出什么波段。信号发生器的功能实现还要通过调用具体的子程序。

1信号发生器控制程序流程图如图7所示。首先通过开关选择波形选择、波段切换功能,然后进行相应的置数或调节。波形选择、波段切换通过拨盘键进行控制。调幅通过定位器控制。最后将相应的数据送入数码管显示。

图7

2键盘扫描子程序

3键值处理子程序

3主程序流程图

4.系统调试

本系统涉及的模拟硬件电路较多,且较为复杂.稳压电源和信号的产生,放大模块纯属硬件部分,信号又属于高频部分.导致管脚分布电容对电路的不确定影响极大,使实际测量值与理论值存在一定的误差,所以在测试是需要反复调整电感和电容的具体数值,才能有理想的效果.在本题中所要求的内容结果基本都实现.

5.总结

本系统以单片机AT89C51和MAX038芯片核心部件,利用数模转换技术、键盘控制原理、变压器原理、信号放大技术并配合一套独特的设计方案来实现对信号的控制,最终使信号完成竞赛题目中的各项任务。在系统设计过程中,力求线路简单,充分发挥软件编程方便灵活的特点,来满足系统设计的要求。因为时间有限,该系统还有许多值得改进的地方。

在本次设计过程中,遇到了许多突发事件和各种困难,设计制作曾一度中断,但通过仔细分析和自我状态调整后解决了问题。在这个过程中我们深刻地体会到共同协作和团队精神的重要性,提高了自己解决问题的能力。

参考文献

1 黄智伟.全国大学生电子设计竞赛训练教程. 电子工业出版社,2005年

2 王连英、章小印.数字电子技术基础. 江西高校出版社,2004年

3 刘午平.数字电子技术从入门到精通. 国防工业出版社,2006年

4 刘华东.单片机原理与应用(第二版).电子工业出版社,2006年

5 张涛.电力电子技术. 电子工业出版社,2005年

6 魏泽鼎.单片机应用技术与实例电子工业出版社,2005年

7 黄仁欣.单片机原理及应用技术. 清华大学出版社,2005年第一版

附录:

附录1 主要元器件清单

(1)信号发生器清单

ORG 0000H

SJMP MAIN

ORG 0030H MAIN: ;MOV R0,#00H MOV R1,#00H MOV R2,#00H MOV P0,#00H

START: MOV P1,#0FCH

MOV P3,#0F8H AGAIN: ACALL SCANKEY

ACALL DELAY

JZ AGAIN

ACALL CHULI

MOV R3, #010H LOOP: ACALL DELAY

DJNZ R3,LOOP

ACALL SCANKEY

JZ AGAIN

GO: ACALL CHULI

MOV R3, #0DH LOOP1: ACALL DELAY

DJNZ R3,LOOP1

ACALL SCANKEY

JZ AGAIN

SJMP GO

SJMP AGAIN

SCANKEY:MOV A,P1

ORL A,#0C3H

RR A

RR A

CPL A

RET

CHULI:

MOV R0,#00H

L3: RRC A

JC L2

INC R0

SJMP L3

L2: MOV A,R0

MOV DPTR,#TAB

ADD A,ACC

JNC L1

INC DPH

L1: JMP @A+DPTR TAB: AJMP BOXIN

AJMP BODUAN

AJMP JIA

AJMP JIAN BOXIN: PUSH ACC

INC R1

MOV A,R1

ORL A,#0FCH

MOV B,A

ANL A,#03H

CJNE A,#03H,TIAO ORL A,#0FCH

ANL A,#0FCH

SJMP ZOU

TIAO:MOV A,B

ZOU: MOV P1,A

POP ACC

AJMP OVER BODUAN: PUSH ACC

INC R2

MOV A,R2

ORL A,#0F8H

MOV P3,A

CJNE R2,#04H,NEXT1

MOV R2,#0FFH

NEXT1: POP ACC

AJMP OVER

JIA: PUSH ACC

MOV A,P0

INC A

MOV P0,A

POP ACC

AJMP OVER

JIAN: PUSH ACC

MOV A,P0

DEC A

MOV P0,A

POP ACC

OVER: RET

DELAY:

MOV R6,#0F0H

DEL1: MOV R7,#013H

DJNZ R7,$

DJNZ R6,DEL1

RET

END

附录3 电路原理图和印制板图

附录图3-1 信号产生原理图

附录图3-2波段切换电路

附录图3-3控制原理图

附录4 系统使用说明

信号发生器由于它的频率高,且模拟和数字电路混合,因此在电路设计和线路板布局上

都有所讲究。以下几点为在制作整机过程中的一些注意点:

6.1 MAX038的模拟电源和数字电源端是分开的,在供电时要分别供电,即分别取自不

同的电源,以防数字信号通过电源线干扰模拟部分。同样模拟地和数字地的处理也要慎重,

PCB板上要用低阻地平面分别将模拟地和数字地连接,再在某一点上将两地相连。

6.2 由于不同结构的电阻具有不同的寄生电容和寄生电感,因此选择电阻时,应选用寄生电容和寄生电感小的电阻。推荐使用1%以上精度的金属膜电阻。

6.3在高频线路中,电源去耦是一个关键问题。整个线路往往会由于电源引线而产生电路谐振,当有大的瞬时变化时,也会产生尖峰干扰信号。消除这二种现象的有效办法就是在片子的电源管脚与地之间加上适当的去耦电容,一般使用1μF以上的优质电容。在许多场合,采用二个电容并联的方法(并联一个0.1μF电容),则去耦效果更佳。

本文采用单片机技术很好地实现了对MAX038芯片的控制,完成了信号发生器的设计与研制,整个过程简单可靠,实际运行效果良好,为变压器绕组变形的频响法测试打下良好基础。

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

简易信号发生器设计制作

简易信号发生器设计制作 一、训练目的 (1)掌握正弦波、三角波、矩形波和方波发生电路的工作原理; (2)学会正弦波、三角波、矩形波和方波发生电路的设计方法; (3)进一步熟悉电子线路的安装、调试、测试方法。 二、工作原理 正弦波、三角板、矩形波是电子电路中常用的测试信号,如测试放大器的增益、通频带等均要用到正弦信号作为测试信号。下面分别介绍产生这三种信号电路结构和工作原理。 1.正弦信号发生器 正弦信号的产生电路形式比较多,频率较低时常用文氏电桥振荡器,图7-1为实用文氏电桥振荡电路。图中R 1、R 2、R 3、RW 2构成负反馈支路,二极管D 1、D 2构成稳幅电路,C 2、R 11(或R 12或R 13)、C 1、R 21(或R 22或R 23)串并联电路构成正反馈支路,并兼作选频网络。调节电位器RW 2可以改变负反馈的深度,以满足振荡的振幅条件和改善波形。二极管D 1、D 2要求温度稳定性好,特性匹配以确保输出信号正负半周对称,R 4接入用以消除二极管的非线性影响,改善波形失真。如K1接电阻R 11、K2接R 21,并且R 11= R 21=R ,C 1= C 2=C ,则电路的振荡频率为: 1 2f RC π= (7-1) 起振的幅值条件: 1 1f v R A R =+ (7-2) 图7-1 正弦信号发生器 通过调整RW 2可以改变电路放大倍数,能使电路起振并且失真最小。该电路可通过开关K1、K2选择不同的电阻以得到不同频率的信号输出。 2.方波和矩形波发生器

方波发生电路如图7-2,其基本原理是在滞回比较器的基础上增加了由R 4和C 1构成的积分电路,输出电压通过该积分电路送人到比较器的反相输入端。其中R 3 、D Z1和D Z2构成双向限幅电路,这样就构成了方波发生器电路,其工作原理如下: 假设在接通电源瞬间,输出电压o v 为Z V +(稳压二极管D Z1、D Z2额定工作时的稳压值),这时比较器同相端的输入电压为 2 12 Z R v V R R +≈ + (7-3) 同时输出电压o v 会通过电阻R 4给C 1充电,反相端的输入电压v -就会逐步升高,当反向输入端的电压v -略大于同相端输入电压v +时,比较器输出电压立即从Z V +翻转为Z V -,这时输出端电压o v 为Z V -,比较器同相端输入电压v +'为 2 12 Z R v V R R +'≈- + (7-4) 这时输出的电压o v 会通过R 4对C 1进行反向充电,当反相输入端的电压略低于v +'时,输出状态再翻转回来,如此反复形成方波信号。所产生方波信号的频率为 41 1 2f R C = 方波 (7-5) R 4 o 图7-2 方波发生电路

低频信号发生器设计开题报告

1 研究的目的及其意义 随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展,促使信号发生器种类增多,性能提高。尤其随着70年代微处理器的出现,更促使信号发生器向着自动化、智能化方向发展。现在,信号发生器带有微处理器,因而具备了自校、自检、自动故障诊断和自动波形形成和修正等功能,可以和控制计算机及其他测量仪器一起方便的构成自动测试系统。当前信号发生器总的趋势是向着宽频率覆盖、低功耗、高频率、精度、多功能、自动化和智能化方向发展。在科学研究、工程教育及生产实践中,如工业过程控制、教学实验、机械振动试验、动态分析、材料试验、生物医学等领域,常常需要用到低频信号发生器。而在我们日常生活中,以及一些科学研究中,锯齿波和正弦波、矩形波信号是常用的基本测试信号。譬如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。信号发生器作为一种通用的电子仪器,在生产、科研、测控、通讯等领域都得到了广泛的应用。但市面上能看到的仪器在频率精度、带宽、波形种类及程控方面都已不能满足许多方面实际应用的需求。加之各类功能的半导体集成芯片的快速生产,都使我们研制一种低功耗、宽频带,能产生多种波形并具有程控等低频的信号发生器成为可能。 便携式和智能化越来越成为仪器的基本要求,对传统仪器的数字化,智能化,集成化也就明显得尤为重要。平时常用信号源产生正弦波,方波,三角波等常见波形作为待测系统的输入,测试系统的性能。单在某些场合,我们需要特殊波形对系统进行测试,这是传统的模拟信号发生器和数字信号发生器很难胜任的。利用单片机,设计合适的人机交互界面,使用户能够通过手动的设定,设置所需波形。该设计课题的研究和制作全面说明对低频信号发生系统要有一个全面的了解、对低频信号的发生原理要理解掌握,以及低频信号发生器工作流程:波形的设定,D/A 转换,显示和各模块的连接通信等各个部分要熟练联接调试,能够正确的了解常规芯片的使用方法、掌握简单信号发生器应用系统软硬件的设计方法,进一步锻炼了我们在信号处理方面的实际工作能力。 2 国内外研究现状 在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

函数信号发生器(毕业设计)

陕西国防学院电子工程系毕业论文 摘要 本系统以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的。 关键词: ICL8038,波形,原理图,常用接法 1

陕西国防学院电子工程系毕业论文 目录 摘要 (1) 目录 (2) 第一章项目任务 (3) 1.1 项目建 (3) 1.2 项目可行性研究 (3) 第二章方案选择 (4) 2.1 [方案一] (4) 2.2 [方案二] (4) 第三章基本原理 (5) 3.1函数发生器的组成 (6) 3.2 方波发生器 (6) 3.3 三角波发生器 (7) 3.4 正弦波发生器 (9) 第四章稳压电源 (10) 4.1 直流稳压电源设计思路 (10) 4.2 直流稳压电源原理 (11) 4.3设计方法简介 (12) 第五章振荡电路 (15) 5.1 RC振荡器的设计 (15) 第六章功率放大器 (17) 6.1 OTL 功率放大器 (17) 第七章系统工作原理与分析 (19) 7.1 ICL8038芯片简介 (19) 7.2 ICL8038的应用 (19) 7.3 ICL8038原理简介 (19) 7.4 电路分析 (20) 7.5工作原理 (20) 7.6 正弦函数信号的失真度调节 (23) 7.7 ICL8038的典型应用 (24) 致谢 (25) 心得体会 (26) 参考文献 (27) 附录1 (28) 附录2 (29) 附录3 (30) 2

(完整版)数字信号发生器的电路设计_(毕业课程设计)

1 引言 信号发生器又称信号源或者振荡器,它是根据用户对其波形的命令来产生信号的电子仪器,在生产实践和科技领域有着广泛的应用。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其他仪表测量感兴趣的参数。信号发生器在通信、广播、电视系统,在工业、农业、生物医学领域内,在实验室和设备检测中具有十分广泛的用途。 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。到70年代处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。随着现代电子、计算机和信号处理等技术的发展,极大地促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之发展起来。

信号发生器作为电子领域不可缺少的测量工具,它必然将向更高性能,更高精确度,更高智能化方向发展,就象现在在数字化信号发生器的崛起一样。但作为一种仪器,我们必然要考虑其所用领域,也就是说要因地制宜,综合考虑性价比,用低成本制作的集成芯片信号发生器短期内还不会被完全取代,还会比较广泛的用于理论实验以及精确度要求不是太高的实验。因此完整的函数信号发生器的设计具有非常重要的实践意义和广阔的应用前景。 2 数字信号发生器的系统总述 2.1 系统简介 信号发生器广泛应用于电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域。 本设计以AT89C52[1]单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统主要包括CPU模块、显示模块、键盘输入模块、数模转换模块、波形输出模块。系统电路原理图见附录A,PCB (印制电路板)图见附录B。其中CPU模块负责控制信号的产生、变化及频率的改变;模数转换模块采用DAC0832实现不同波形的输出;显示模块采用1602液晶显示,实现波型和频率显示;键盘输入模块实

简易信号发生器单片机课程设计报告

课程设计(论文)任务书 电气学院电力系统及其自动化专业12(1 )班 一、课程设计(论文)题目:简易信号发生器设计 二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。 三、课程设计(论文) 地点:电气学院机房 10-303 四、课程设计(论文)内容要求: 1.课程设计的目的 (1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用; (3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。 2.课程设计的内容及任务 (1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。 (2)显示出仿真波形。 (3)通过按键选择输出波形的种类。 (4)在此基础上使输出波形的幅值可控。

3.课程设计说明书编写要求 (1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。 (3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,) 调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)(4) 学生签名: 2015年1月16 日 课程设计(论文)评审意见 (1)总体方案的选择是否正确;正确()、较正确()、基本正确()(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()(3)设计功能是否完善;完善()、较完善()、基本完善()(4)元器件选择是否合理;合理()、较合理()、基本合理()(5)动手实践能力;强()、较强()、一般()(6)学习态度;好()、良好()、一般()(7)基础知识掌握程度;好()、良好()、一般()(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确() (9)程序代码是否具有创新性;全部()、部分()、无() (10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格() 评阅人:

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

信号发生器毕业设计

信号发生器的设计与制作 系别:机电系专业:应用电子技术届:07届姓名:张海峰 摘要 本系统以AD8951集成块为核心器件,AT89C51集成块为辅助控制器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。AD9851是AD公司生产的最高时钟为125 MHz、采用先进的CMOS技术的直接频率合成器,主要由可编程DDS系统、高性能模数变换器(DAC)和高速比较器3部分构成,能实现全数字编程控制的频率合成。 关键词AD9851,AT89C51,波形,原理图,常用接法

ABSTRACT 5 The system AD8951 integrated block as the core device, AT89C51 Manifold for auxiliary control devices, production of a function signal generator to produce low cost. Suitable for students to learn the use of electronic technology measurement. AD9851 is a AD produced a maximum clock of 125 MHz, using advanced CMOS technology, the direct frequency synthesizer, mainly by the programmable DDS systems, high-performance module converter (DAC) and high-speed comparator three parts, to achieve full Digital program-controlled frequency synthesizer. Key words AD9851, AT89C51, waveforms, schematics, Common Connection

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

基于单片机的信号发生器设计

基于单片机的信号发生器设计

基于单片机的信号发生器 设计

摘要 在介绍MAX038 芯片特性的基础上,论述了采用MAX038 芯片设计数字函数信号发生器的原理以及整机的结构设计。对其振荡频率控制、信号输出幅度控制以及频率和幅度数显的实现作了较详细的论述。该函数信号发生器可输出三角波,方波和正弦波。 本文重点论述了整机通过D/A转换电路控制MAX038的实现过程,D/A转换电路采用了8位4通道的MAX505来实现。在幅度的控制上采用数字电位器AD5171,该芯片是I2C总线方式控制,文中给出了I2C总线的读写控制程序。系统支持按键操作和上位机操作两种模式。 关键词:函数信号;D/A ;单片机控制

Design of Signal Generator System Based on SCM Zisu zhou (College of Zhangjiajie, Jishou University, Jishou,Hunan 416000) Abstract Based on the introduction of MAX038 , we discussed the principle and the whole frame of the digital function signal generator. We described the control of the oscillatory frequent , amplitude and the digital display in detail. Thegenerator can output three kinds of waves : sine wave , square wave , triangle wave. This text has exposition the mirco-computer controls the D/A electric circuit of conversion realize the process. In D/A changing electric circuit adopt the 8 bit 4 channel come to realize. Porentiometer AD5171 is adopted in the control of length. This chip is that I2C bus control way. This system supports key-control or computer-control modes. Key words : function signal ;D/A ;single - chip microprocessor control ;

基于运放的信号发生器设计

北京工业大学课程设计报告 模电课设题目基于运放的信号发生器设计 班级:1302421 学号:13024219 姓名:吕迪 组号:7 2015年 6月

一、设计题目 基于运放的信号发生器设计 二、设计任务及设计要求 (一)设计任务 本课题要求使用集成运算放大器制作正弦波发生器,在没有外加输入信号的情况下,依靠电路自激震荡而产生正弦波输出的电路。经过波形变换可以产生同频三角波、方波信号。(二)设计要求 基本要求:使用LM324,采用经典振荡电路,产生正弦信号,频率范围,360Hz~100kHz。输出信号幅度可调,使用单电源供电以及增加功率。 (三)扩展要求 (1)扩大信号频率的范围; (2)增加输出功率 (3)具有输出频率的显示功能。 三、设计方案 (一)设计框图 (二)设计方案选择思路 我们在模电课上学过几种正弦波振荡器的基本电路,包括RC串并联正弦波振荡器、电容三点式正弦波振荡器以及电感三点式正弦波振荡器。因为题目要求设计基于运放的正弦波发生器,我们就确定将RC串并联网络正弦波振荡器作为我们设计的基础电路,因为此振荡器适用于频率在1MHz一下的低频正弦波振荡器而且频率调节方便,我们打算先通过计算搭建RC 正弦波振荡电路,测试基本电路达到的频率及幅值范围,再在这一基础上进行放大,使频率及幅值与设计要求相符合,因此设计出了二级反向放大这一模块。最后,为了提高电路的输出功率,减小电路的输出阻抗,再设计电压跟随器这一模块来完善整个电路。由此,我们确定出三个模块:RC正弦波振荡电路,二级反向放大电路,电压跟随器,并准备从基础模块入手,分模块实现,并根据实际情况不断调整改进原先的设计方案。 (三)元器件清单 芯片:LM324*2 40106*1 二极管:1N4148*2 电容:10μF*1、10nf *4 电阻:2k*1 、10k*4、51k*1 、82k*1 、91k*1 、100k滑动变阻器*1、220k*1 电位器:50k双联*1、10k*2、50k*1 (四)芯片资料

基于单片机的信号发生器设计

单片机的信号发生器设计 摘要 在介绍MAX038 芯片特性的基础上,论述了采用MAX038 芯 片设计数字函数信号发生器的原理以及整机的结构设计。对其 振荡频率控制、信号输出幅度控制以及频率和幅度数显的实现 作了较详细的论述。该函数信号发生器可输出三角波,方波和正 弦波。 本文重点论述了整机通过D/A转换电路控制MAX038的实现 过程,D/A转换电路采用了8位4通道的MAX505来实现。在幅 度的控制上采用数字电位器AD5171,该芯片是I2C总线方式控 制,文中给出了I2C总线的读写控制程序。系统支持按键操作 和上位机操作两种模式。 目录 绪论 ..............................................................第一章系统概述和设计方案 ........................................ 1.1论文的内容和组织 ................................................................................ 1.2方案选择 ................................................................................................. 1.3信号发生芯片选择 .................................................................................. 1.4方案框图设计及基本控制原理 ............................................................. 1.5.1 频段控制调整参数计算............................................................... 1.5.2频率控制细调参数计算................................................................ 1.5.3占空比的数字控制参数计算 ........................................................ 1.5.4幅度的数控参数实现....................................................................第二章系统硬件设计 ............................................... 2.1 系统总体设计......................................................................................... 2.2单片机介绍及外围电路 .......................................................................... 2.3 D/A转换电路(频率,占空比控制电路) ............................................ 2.3.1MAX505的引脚描述 ......................................................................

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

任意信号发生器毕业设计开题报告书

苏州科技学院 毕业设计开题报告 设计题目任意信号发生器的硬件设计(基于89C51实现)院系电子与信息工程学院 专业电子信息工程 班级电子0911 学生姓名XXXXXXX 学号 设计地点 指导教师 2013 年3月31 日

设计题目:任意信号发生器的硬件设计(基于89C51实现)课题目的、意义及相关研究动态: 一、课题目的: 信号发生器是一种能产生模拟电压波形的设备,这些波形能够校验电子电路的设计。信号发生器广泛用于电子电路、自动控制系统和教学实验等领域,它是一种可以产生正弦波,方波,三角波等函数波形的一起,其频率范围约为几毫赫到几十兆赫,在工业生产和科研中利用信号发生器输出的信号,可以对元器件的性能鉴定,在多数电路传递网络中,电容与电感组合电路,电容与电阻组合电路及信号调制器的频率,相位的检测中都可以得到广泛的应用。因此,研究信号发生器也是一个很重要的发展方向。 常用的信号发生器绝大部分是由模拟电路构成的,但这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不但参数准确度难以保证,而且体积和功耗都很大,而本课题设计的函数信号发生器,由单片机构成具有结构简单,价格便宜等特点将成为数字量信号发生器的发展趋势。 本课题采用的是以89c51为核心,结合 DAC0832实现程控一般波形的低频信号输出,他的一些主要技术特性基本瞒住一般使用的需要,并且它具有功能丰富,性能稳定,价格便宜,操作方便等特点,具有一定的推广作用。 二、课题意义: (1)任意信号发生器主要在实验中用于信号源,是电子电路等各种实验必不可少的实验设备之一,掌握任意信号发生器的工作原理至关重要。 (2)任意信号发生器能产生某些特定的周期性时间任意波形(正波、方波、三角波)信号,频率范围可从几个微赫到几十兆赫任意信号发生器在电路实验和设备检测中具有十分广泛的用途。 (3)本课题主要研究开发一个基于51单片机的实验用任意信号发生器,不但成本较低而精度较高,最重要的是开发简单易于调试,具有一定社会价值和经济价值。 (4)任意信号发生器作为一种常见的电子仪器设备,既能够构成独立的信号源,也可以是高新能的网络分析仪,频谱仪以及自动测试装备的组成部分,任意信号发生器的关键技术是多种高性能仪器的支撑技术,因为它是能够提高质量的精密信号源及扫描源,可使相应系统的检测过程大大简化,降低检测费用并且提高检测精度。

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

基于单片机的信号发生器的设计

唐山师范学院 题目基于单片机的信号发生器的设计 院系名称:电子信息科学与技术 学号: 摘要 波形发生器即简易函数信号发生器,是一个能够产生多种波形,如三角波、锯

齿波、方波、正弦波等波形电路。函数信号发生器在电路实验和设备仪器中具有十分广泛的用途。通过对函数发生器的原理以及构成分析,可设计一个能变换出三角波、锯齿波、方波、正弦波的函数波形发生器。在工业生产和科研中利用函数信号发生器发出的信号,可以对元器件的性能及参数进行测量,还可以对电工和电子产品进行指数验证、参数调整及性能鉴定。常用的信号发生器绝大部分是由模拟电路构成的,当这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不仅参数准确度难以保证,而且体积和功耗都很大,而由数字电路构成的低频信号发生器,虽然其性能好但体积较大,价格较贵,因此,高精度,宽调幅将成为数字量信号发生器的趋势。 本文介绍的是利用89C52单片机和数模转换器件DAC0832产生所需不同信号的低频信号源,其信号幅度和频率都是可以按要求控制的。文中简要介绍了 DAC0832数模转换器的结构原理和使用方法,89C52的基础理论,以及与设计电路有关的各种芯片。文中着重介绍了如何利用单片机控制D/A转换器产生上述信号的硬件电路和软件编程。信号频率幅度也按要求可调。 本设计核心任务是:以AT89C52为核心,结合D/A转换器和DAC0832等器件,用仿真软件设计硬件电路,用C语言编写驱动程序,以实现程序控制产生正弦波、三角波、方波、三种常用低频信号。可以通过键盘选择波形和输入任意频率值。

关键词: AT89C52单片机函数波形发生器 DAC0832 方波三角波正弦波 目次 1 引言 (4) 2 系统设计 (6) 方案 (6) 器件选择 (6) 总体系统设计 (6) 硬件实现及单元电路设计 (7) 单片机最小系统设计 (7) D/A转换器 (8) 运算放大器电路 (10) LED显示器接口电路 (11) 波形产生原理及模块设计 (11) 显示模块设计 (13) 键盘显示模块设计 (14) 软件设计流程 (14) 软件中的重点模块设计 (14) 3 输出波形种类与频率的测试 (18) 测量仪器及调试说明 (18) 调试过程 (18) 调试结果 (22) 结论 (23) 致谢 (25) 参考文献 (26) 附录A 源程序 (27)

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

相关主题
文本预览
相关文档 最新文档