当前位置:文档之家› 计算机组成原理实验报告-单周期CPU的设计与实现

计算机组成原理实验报告-单周期CPU的设计与实现

计算机组成原理实验报告-单周期CPU的设计与实现
计算机组成原理实验报告-单周期CPU的设计与实现

1个时钟周

期 Cloc

k 电子科技大学计算机科学与工程学院

标 准 实 验 报 告

(实验)课程名称: 计算机组成原理实验 电子科技大学教务处制表

电 子 科 技 大 学 实 验 报 告

学生姓名: 郫县尼克杨 学 号: 2014 指导教师:陈虹 实验地点: 主楼A2-411 实验时间:12周-15周

一、 实验室名称:

主楼A2-411

二、 实验项目名称:

单周期CPU 的设计与实现。

三、 实验学时:

8学时

四、 实验原理:

(一) 概述

单周期(Single Cycle )CPU 是指CPU 从取出1条指令到执行完该指令只需1个时钟周期。

一条指令的执行过程包括:取指令→分析指令→取操作数→执行指令→保存结果。对于单周期CPU 来说,这些执行步骤均在一个时钟周期内完成。

(二) 单周期cpu 总体电路

本实验所设计的单周期CPU 的总体电路结构如下。

(三) MIPS 指令格式化

MIPS 指令系统结构有MIPS-32和MIPS-64两种。本实验的MIPS 指令选用MIPS-32。以下所说的MIPS 指令均指MIPS-32。

MIPS 的指令格式为32位。下图给出MIPS 指令的3种格式。

本实验只选取了9条典型的MIPS 指令来描述CPU 逻辑电路的设计方法。下图列出了本实验的所涉及到的9条MIPS 指令。

五、 实验目的

1、掌握单周期CPU 的工作原理、实现方法及其组成部件的原理和设计方法,如控制器、运算器等。?

2、认识和掌握指令与CPU 的关系、指令的执行过程。?

3、熟练使用硬件描述语言Verilog 、EDA 工具软件进行软件设计与仿真,以培养学生的分析和设计CPU 的能力。

六、 实验内容

(一)拟定本实验的指令系统,指令应包含R 型指令、I 型指令和J 型指令,指令数为9条。

(二)CPU 各功能模块的设计与实现。

(三)对设计的各个模块的仿真测试。

(四)整个CPU 的封装与测试。

七、 实验器材(设备、元器件):

(一)安装了Xilinx ISE Design Suite 的PC 机一台

(二)FPGA 开发板:Anvyl Spartan6/XC6SLX45

(三)计算机与FPGA 开发板通过JTAG (Joint Test Action Group )接口连接,其连接方式如图所示。

八、 实验步骤

一个CPU 主要由ALU (运算器)、控制器、寄存器堆、取指部件及其它基本功能部件等构成。?

在本实验中基本功能部件主要有:32位2选1多路选择器、5位2选1多路选择器、32位寄存器堆、ALU 等。

(一)新建工程(New Project )

启动ISE Design Suite 软件,然后选择菜单File →New Project ,弹出New Project 26 31 25 21 20 16 15 11 10 6 5 0

op rs rt rd sa func R 型指令

26 31 25 21 20 16 15 0

op rs rt immediate I 型指令

26 31 25 0

op address

J 型指令

Wizard对话框,在对话框中输入工程名CPU,并指定工作路径D:\Single_Cycle_CPU。(二)基本功能器件的设计与实现

(1)多路选择器的设计与实现

位2选1多路选择器(MUX5_2_1)的设计与实现

在ISE集成开发环境中,在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,创建一个Verilog Module模块,名称为:MUX5_2_1,然后输入其实现代码:

MODULE MUX5_2_1(

INPUT [4:0]A,

INPUT [4:0]B,

INPUT S EL,

OUTPUT [4:0]O

);

ASSIGN O=S EL ?B:A;

ENDMODULE

在ISE集成开发环境中,对模块MUX5_2_1进行综合(Synthesize),综合结果如图所示:

在ISE集成开发环境中,对模块MUX5_2_1进行仿真(Simulation)。输入如下测式代码:

MODULE MUX5_2_1_T;

(A),

.B(B),

.SEL(SEL),

.C(C)

);

INITIAL BEGIN

(A),

.SEL(SEL),

.O(O)

);

INITIAL BEGIN

A=0;

B=0;

SEL=0;

(D),

.O(O)

);

INITIAL BEGIN

N1(R N1),

.R N2(R N2),

.W N(W N),

.W RITE(W RITE),

.W D(W D),

.A(A),

.B(B),

.C LOCK(C LOCK) );

INITIAL BEGIN

.B(B),

.ALU_OPERATION(ALU_OPERATION), .R ESULT(R ESULT),

.Z ERO(Z ERO)

);

INITIAL BEGIN

P(OP),

.R EG D ST(R EG D ST),

.R EG W RITE(R EG W RITE),

.ALUS RC(ALUS RC),

.M EM W RITE(M EM W RITE),

.M EM R EAD(M EM R EAD),

.M EMTO R EG(M EMTO R EG),

.B RANCH(B RANCH),

.ALU CTR(ALU CTR)

);

INITIAL BEGIN

(A),

.B(B),

.ALU_OPERATION(ALU_OPERATION), .R ESULT(R ESULT),

.Z ERO(Z ERO)

);

INITIAL BEGIN

P(OP),

.FUNC(FUNC),

.R EG D ST(R EG D ST),

.R EG W RITE(R EG W RITE),

.ALUS RC(ALUS RC),

.M EM W RITE(M EM W RITE),

.M EM R EAD(M EM R EAD),

.M EMTO R EG(M EMTO R EG),

.B RANCH(B RANCH),

.ALU_OP(ALU_OP) );

INITIAL BEGIN

LOCK(CLOCK),

.RESET(RESET),

.B_ADDR(B_ADDR),

.B(B),

.Z(Z),

.INST(INST),

.O_ADDR(O_ADDR),

.O_SUM(O_SUM),

.O_SUM1(O_SUM1) );

INITIAL BEGIN

ESET(R ESET),

.C LOCK(C LOCK),

.I NST(I NST),

.D ATA(D ATA),

.M EM W RITE(M EM W RITE),

.M EM R EAD(M EM R EAD),

.R ESULT(R ESULT),

.B_DATA(B_DATA),

.N EXT PC(N EXT PC) );

INITIAL BEGIN

DDRESS(ADDRESS),

.INST(INST)

);

INITIAL BEGIN

LOCK(C LOCK),

.R ESET(R ESET),

.I NST(I NST),

.P C(P C),

.A LUOUT(A LUOUT),

.B_DATA(B_DATA)

);

INITIAL BEGIN

//I NITIALIZE I NPUTS

C LOCK =0;

R ESET =0;

//W AIT 100 NS FOR GLOBAL RESET TO FINISH #100;

C LOCK =~C LOCK;

R ESET =1;

#100;

C LOCK =~C LOCK;

R ESET =1;

#100;

C LOCK =~C LOCK;

R ESET =1;

#100;

C LOCK =~C LOCK;

R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK;

R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK; R ESET =1;

#100;

C LOCK =~C LOCK;

R ESET =1;

END

ENDMODULE

然后进行仿真,仿真结果如图:

在该转移的地方进行了转移,成功。

九、实验数据及结果分析:

在一个时钟周期内所设计的CPU能够完成一条指令的执行,指令执行结果与预期的结果是一致的。通过仿真可以看到最终顺利实现了每个模块的功能,成功解决了之前出错的PC转移问题,整个CPU按照设计好的指令运行。

十、实验结论:

单周期CPU在一个时钟周期完成指令的所有执行步骤,简化了CPU的设计,但是这样没有考虑不同部件完成时间上的差异,所以导致CPU各部件的利用率不高,采用多周期流水线CPU可以提高利用率,但是难度也会增大许多。

十一、总结及心得体会:

我本身对这次实验很兴趣,指导教师陈老师也非常和蔼耐心地指导,所以比较顺利地完成了整个实验。

本次实验完全是独立完成,没有任何抄袭,包括实验报告的编写,每一段代码都是自己写出来的,每一张图片也都是自己截的图,虽然整个过程花的时间比较多,但确实收获很多,很开心,也希望能得到一个好的成绩。

本次实验让我切实感受到了仿真的好处,计算机仿真在实际生产中的作用,也很好地锻炼了自己的逻辑思维能力,对课堂第四章第五章的内容有了更为深刻的理解。

要合理地将本次实验中“把庞大的部件分割为许多小部件,逐一解决”的方法运用到对其它问题的解决中。

十二、对本实验过程及方法、手段的改进建议:

建议增加2个实验学时,同时将要实现的指令增加为十一条,增加运算器溢出信号Overflow、判断溢出的加法运算,以及J型指令的设计与实现,从而进一步锻炼自己。

报告评分:

指导教师签字:

数据通路实验报告

非常简单CPU数据通路设计实验报告非常简单CPU数据通路设计【实验目的】 1. 掌握CPU的设计步骤 2. 学会芯片的运用及其功能 【实验环境】 Maxplus2环境下实现非常简单CPU数据通路的设计 【实验内容】 非常简单CPU的寄存器:一个8位累加器AC,一个6位的地址寄存器绘制 AR,一个6位的程序计数器PC,一个8位的数据寄存器DR,一个2位的指令寄存器IR。其数据通路详见教材P。 1、零件制作 6位寄存器 (自行设计) 6位计数器 (自行设计) 8位寄存器 (可选择74系列宏函数74273) 8位计数器 (由两个74161构成) 2位寄存器 (由D触发器构成,自行设计) 6三态缓冲器 (自行设计,可由74244内部逻辑修改而成) 8三态缓冲器 (选择74系列宏函数74244,或作修改) alu模块 (自行设计,限于时间,其内部逻辑不作要求) 2、选择器件,加入数据通路顶层图 8位累加器AC:选择8位计数器 6位地址寄存器AR:reg6 6位的程序计数器PC:cou6

8位的数据寄存器DR:选择8位寄存器 2位的指令寄存器IR:选择2位寄存器 3、为PC、DR加入三态缓冲器。 4、调整版面大小,器件位置。 5、设计地址引脚、数据引脚、8位内部总线,加入数据引脚到内部总线的 缓冲器。 6、连接各器件之间以及到内部总线的线路,设计并标注各控制信号。 7、(选做)编译之后,给出微操作 AR<-PC 的测试方法及仿真结果。 8、实验报告中应给出各元部件的实现方法、内部逻辑贴图、打包符号说 明及顶层的“非常简单CPU”数据通路图。 实验报告 一、实验步骤 基于前面非常简单CPU的讲解,我掌握了非常简单CPU的指令集结构及非常简单CPU的指令读取过程和执行过程,本次实验是在上次实验的基础之上完成非常简单CPU数据通路的设计,其步骤如下: (1)、AC累加器原理图如下:

cpu实验报告

简易计算机系统综合设计设计报告 班级姓名学号 一、设计目的 连贯运用《数字逻辑》所学到的知识,熟练掌握EDA工具的使用方法,为学习好后续《计算机原理》课程做铺垫。 二、设计内容 ①按给定的数据格式和指令系统,使用EDA工具设计一台用硬连线逻辑控制的简易计算机系统; ②要求灵活运用各方面知识,使得所设计的计算机系统具有较佳的性能; ③对所做设计的性能指标进行分析,整理出设计报告。 三、详细设计 3.1设计的整体架构 控制信号

3.2各模块的具体实现 1.指令计数器(zhiling_PC) 元件: 输入端口:CLK,RESET,EN; 输出端口:PC[3..0]; CLK:时钟信号; RESET:复位信号; EN:计数器控制信号,为1的时候加一; PC[3..0]:地址输出信号; 代码:

波形图: 总共有九条指令,指令计数器从0000到1000;功能: 实现指令地址的输出; 2.存储器(RAM) 元件: 输入端口:PC[3..0],CLK; 输出端口:zhiling[7..0]; CLK:时钟信号; PC[3..0]:指令地址信号; zhiling[7..0]:指令输出信号; 代码:

波形图: 功能: 根据输入的地址输出相应的指令; 3.指令译码器(zlymq) 元件: 输入端口:zhiling[7..0]; 输出端口:R1[1..0],R2[1..0],M[3..0];zhiling[7..0]:指令信号; R1:目标寄存器地址; R2:源寄存器地址; M[3..0]:指令所代表的操作编号; 代码:

波形图:

功能: 实现指令的操作译码,同时提取出目标寄存器和源寄存器的地址; 4.算术逻辑运算器(ALU) 元件: 输入端口:EN_ALU,a[7..0],b[7..0],M[3..0]; 输出端口:c[7..0],z; EN_ALU:运算器的使能端; a[7..0]:目标寄存器R1的值; b[7..0]:源寄存器R2的值; M[3..0]:指令所代表的操作编号; c[7..0]:运算结果; z:运算完成的信号; 代码:

计算机组成原理实验报告单周期cpu的设计与实现

1个时钟周期 Clock 电子科技大学计算机科学与工程学院 标 准 实 验 报 告 (实验)课程名称: 计算机组成原理实验 电子科技大学教务处制表 电 子 科 技 大 学 实 验 报 告 学生姓名: 郫县尼克杨 学 号: 2014 指导教师:陈虹 实验地点: 主楼A2-411 实验时间:12周-15周 一、 实验室名称: 主楼A2-411 二、 实验项目名称: 单周期CPU 的设计与实现。 三、 实验学时: 8学时 四、 实验原理: (一) 概述 单周期(Single Cycle )CPU 是指CPU 从取出1条指令到执行完该指令只需1个时钟

周期。 一条指令的执行过程包括:取指令→分析指令→取操作数→执行指令→保存结果。对于单周期CPU 来说,这些执行步骤均在一个时钟周期内完成。 (二) 单周期cpu 总体电路 本实验所设计的单周期CPU 的总体电路结构如下。 (三) MIPS 指令格式化 MIPS 指令系统结构有MIPS-32和MIPS-64两种。本实验的MIPS 指令选用MIPS-32。以下所说的MIPS 指令均指MIPS-32。 MIPS 的指令格式为32位。下图给出MIPS 指令的3种格式。 本实验只选取了9条典型的MIPS 指令来描述CPU 逻辑电路的设计方法。下图列出了本实验的所涉及到的9条MIPS 指令。 五、 实验目的 1、掌握单周期CPU 的工作原理、实现方法及其组成部件的原理和设计方法,如控制器、26 31 221 216 15 11 1 6 5 0 op rs rt rd sa func R 型指令 26 31 221 216 15 0 op rs rt immediate I 型指令 26 31 20 op address J 型指令

微处理器实验报告

微处理器实验报告 实验1 熟悉并使用传送类指令 题3. 模仿test1.Asm的循环结构,编写多字节数据的传送程序,把内部RAM的30H--39H单元置为10H-19H,然后传送到外部RAM的0100H--0109H单元。 提示: (1)用寄存器作内部RAM指针,用DPTR作外部RAM指针。 (2)设置内部RAM的30H--39H单元的值为10H-19H: 解:程序如下: ORG 0000H AJMP START ORG 0100H START:MOV R1,#10H MOV DPTR,#0100H MOV R2,#0AH LOOP:MOV A,@R1 MOVX @DPTR,A INC R1 INC DPTR DJNZ R2,LOOP NOP SJMP $ END 运行结果如下图所示:在memory1中,在Address 控件填上D:0x30,可查看内部RAM 30h单元开始的值,将30h-39h 置为10h-19h。

实验2:熟悉并使用算术逻辑操作类指令 题2.编写程序,将6位压缩BCD码123456与6位BCD码567890相加,结果仍用BCD码表示。第一组BCD码放在30H开始连续的内部存储器单元内,第二组BCD 码放在40H开始连续的内部存储器单元内,相加结果放在50H开始的连续的内部存储器单元内。 解:程序如下: ORG 0000H AJMP START ORG 0100H START: MOV 30H,#56H MOV 31H,#34H

MOV 32H,#12H MOV 40H,#90H MOV 41H,#78H MOV 42H,#56H MOV R0,#30H MOV R1,#40H MOV R2,#03H MOV R3,#50H LOOP: MOV A,@R0 ADD A,@R1 DA A MOV @R1,A INC R0 INC R1 INC R3 DJNZ R2,LOOP NOP SJMP $

微机组装实验报告

微机组装实验报告 一.实验内容 1、了解计算机的硬件系统 2、在规定的时间内完成一台计算机的完全拆卸并且完全还原的组装拆卸训练二.实验目的 1、熟悉计算机的基本配置及各部件的功能 2、掌握计算机的组装过程 三.实验过程及步骤 1、实验的主要过程及步骤 ①交代任务,强调实验的重点及注意事项 ②老师演示重点环节 ③拆卸计算机: 观察机箱外观---打开机箱并观察机箱内的部件---分解各个部件之间的连接---观察主板---拆卸主板上的部件 ④组装计算机: 安装cpu---散热风扇---内存条---电源盒---主板---显卡---光驱---硬盘---连接主板控制线 2、主要部件的功能及参数介绍: ①中央处理器(英文Central Processing Unit,CPU)是一台计算机的运算核心 和控制核心。CPU、内部存储器和输入/输出设备是电子计算机三大核心部件。其功能主要是解释计算机指令以及处理计算机软件中的数据。CPU由运

算器、控制器和寄存器及实现它们之间联系的数据、控制及状态的总线构成。 差不多所有的CPU的运作原理可分为四个阶段:提取(Fetch)、解码(Decode)、执行(Execute)和写回(Writeback)。CPU从存储器或高速缓冲存储器中取出指令,放入指令寄存器,并对指令译码,并执行指令。所谓的计算机的可编程性主要是指对CPU的编程。 ②主机板:又叫主板(mainboard)、系统板(systemboard)或母板(motherboard);它 安装在机箱内,是微机最基本的也是最重要的部件之一。主板一般为矩形电路板,上面安装了组成计算机的主要电路系统,一般有BIOS芯片、I/O 控制芯片、键盘和面板控制开关接口、指示灯插接件、扩充插槽、主板及插卡的直流电源供电接插件等元件 ③内存条:内存条是连接CPU 和其他设备的通道,起到缓冲和数据交换作用。 库”太大,加上离CPU也很“远”,运输“原料”数据的速度就比较慢,导致 间,建了一个“小仓库”—内存。 四.实验心得及体会收获 通过学习计算机组装,我了解了计算机方面的一些基础知识,也了解到了CPU,主板,内存等配件的基本结构,原来在计算机方面不是很懂得的我,开始渐渐的深入了解它,相信我今后能更好的使用它。 “纸上得来终觉浅,绝知此事要躬行。”这次计算机组装实验,让我真正将理论和实践融为一体,既巩固了旧知识,又掌握了新知识,在提高了我的动手能力

CPU设计实验报告文档(英文版)

Southeast University Microprogra m med CPU Design -- COA experiment School of Information Science and Engineering 04009XXX 2012-4-25

Purpose The purpose of this project is to design a simple CPU (Central Processing Unit). This CPU has basic instruction set, and we will utilize its instruction set to generate a very simple program to verify its performance. For simplicity, we will only consider the relationship among the CPU, registers, memory and instruction set. That is to say we only need consider the following items: Read/Write Registers, Read/Write Memory and Execute the instructions. At least four parts constitute a simple CPU: the control unit, the internal registers, the ALU and instruction set, which are the main aspects of our project design and will be studied. Instruction Set Single-address instruction format is used in our simple CPU design. The instruction word contains two sections: the operation code (opcode), which defines the function of instructions (addition, subtraction, logic operations, etc.); the address part, in most instructions, the address part contains the memory location of the datum to be operated, we called it direct addressing. In some instructions, the address part is the operand, which is called immediate addressing. For simplicity, the size of memory is 256×16 in the computer. The instruction word has 16 bits. The opcode part has 8 bits and address part has 8 bits. The instruction word format can be expressed in Figure 1 Figure 1 the instruction format The opcode of the relevant instructions are listed in Table 1. In Table 1, the notation [x] represents the contents of the location x in the memory. For example, the instruction word 00000011101110012 (03B916) means that the CPU adds word at location B916 in memory into the accumulator (ACC); the instruction word 00000101000001112 (050716) means if the sign bit of the ACC (ACC [15]) is 0, the CPU will use the address part of the instruction as the address of next instruction, if the sign bit is 1, the CPU will increase the program counter (PC) and use its content 7 as the address of the next instruction. Table 1 List of instructions and relevant opcodes

微处理器实验报告

《微处理器实验》实验报告 一、实验目的与任务 1、掌握80C51单片机程序的调试与下载方法。 2、掌握80C51单片机并口的工作原理及输入输出控制方法。 3、理解和学会单片机外部中断的使用; 4、学习单片机定时器/计数器的应用。 二、实验原理介绍 80C51单片机包括P0~P3共4个并口,除可作为普通I/O口使用外,P0口还可用作8位数据总线口和低8位地址口,P2可用作高8位地址口,P3.6、P3.7可用作外部RAM或I/O接口的读、写控制引脚,P3.0~P3.5可用作串口、外部中断、计数器的功能引脚。 ZSC-1实验箱包括4个单片机,其中1#单片机(MCU1)和2#单片机(MCU2)的型号都是STC12C5A60S2,只是封装不同。STC12C5A60S2采用80C51内核,片内资源和性能较标准80C51单片机增强了许多。本课程的全部实验只用到MCU1。 图3-1为本实验对应的硬件电路。P0口用于控制发光管L101~L108,口线输出0(低电平)时,对应的发光管点亮,口线输出1(高电平)时,对应的发光管熄灭。P3口的4根口线连接独立按键KX0~KX3,由于P3口内部上拉电阻的作用,按键松开时,对应的口线表现为1状态(高电平),按键按下时,对应的口线表现为0状态(低电平)。 中断是指在突发事件到来时先中止当前正在进行的工作,转而去处理突发事件。待处理完成后,再返回到原先被中止的工作处,继续进行随后的工作。引起突发事件的来源称为中断源,中断源要求服务的请求称为中断请求,对中断请求提供的服务称为中断服务,中断管理系统处理事件的过程称为中断响应过程。51类单片机至少包括5个中断源: INT0:外部中断0,由P3.2端口线引入,低电平或下跳沿引起。(本实验用) INT1:外部中断1,由P3.3端口线引入,低电平或下跳沿引起。 T0:定时器/计数器0中断,由T0计满回零引起。(本实验用) T1:定时器/计数器l中断,由T1计满回零引起。 TI/RI:串行I/O中断,串行端口完成一帧字符发送/接收后引起。 与实验三相同,图3-1为本实验对应的硬件电路。P0口用于控制发光管L101~L108,口线输出0(低电平)时,对应的发光管点亮,口线输出1(高电平)时,对应的发光管熄灭。P3口的4根口线连接独立按键KX0~KX3,其中KX0对应外部中断0,进行KX0按键动作的时候可以触发外部中断。 三、实验内容与结果 1、设计程序,控制发光管L101~L108以一定的时间间隔轮流点亮。 ①在Keil中编写源程序。参考程序如下(请将下划线部分补充完整): ORG 0000H LJMP MAIN ORG 0100H MAIN: MOV A, #11111110B ;准备点亮第一只发光管 NEXT: MOV ,A ACALL DELAY ;软件延时1s左右 A ;准备点亮下一只发光管 SJMP NEXT

CPU设计实验报告

实验中央处理器的设计与实现 一、实验目的 1、理解中央处理器的原理图设计方法。 2、能够设计实现典型MIPS的11条指令。 二、实验要求 1、使用Logisim完成数据通路、控制器的设计与实现。 2、完成整个处理器的集成与验证。 3、撰写实验报告,并提交电路源文件。 三、实验环境 VMware Workstations Pro + Windows XP + Logisim-win-2.7.1 四、操作方法与实验步骤 1、数据通路的设计与实现 数据通路主要由NPC、指令存储器、32位寄存器文件、立即数扩展部件、ALU、数据存储器构成。其中指令存储器和数据存储器可直接调用软件库中的ROM和RAM元件直接完成,其余部件的设计如图所示: 图1.1 NPC

图1.2 32位寄存器

图1.3 立即数扩展部件 图1.4 ALU 2、控制器的设计与实现 控制器的主要设计思想如图所示 图2.1 控制器设计思想 输入 1 1 0

输出R-type ORI LW SW BEQ JUMP RegDst 1 0 0 x x x ALUSrc 0 1 1 1 0 x MemtoReg0 0 1 x x x RegWrite 1 1 1 0 0 0 MemWrite0 0 0 1 0 0 Branch 0 0 0 0 1 0 Jump 0 0 0 0 0 1 Extop x 0 1 1 1 x ALUop2 1 0 0 0 0 x ALUop1 x 1 0 0 x x ALUop0 x 0 0 0 1 x ALUop[2:0] Funct[3:0] 指令ALUctr[2:0] 111 0000 add 010 111 0010 sub 110 111 0100 and 000 111 0101 or 001 111 1010 slt 111 010 xxxx ori 001 000 xxxx Lw/sw 010 011 xxxx beq 110 表2.1 控制器设计真值表

嵌入式微处理器实验指导(实验一、二、三、四、五)

实验一、Linux常用命令及工具 【实验目的】 熟悉Linux常用命令及工具的使用,特别是常用命令的关键选项及用法需要熟悉。 【实验内容】 1. 在老师讲解下掌握Linux常用命令和工具的用法; 2. 打开虚拟机ubuntu的Terminal,进行命令的练习和熟悉; 3. 截图保存相关界面; 【实验准备】 1. 熟悉Ubuntu虚拟机的安装和使用。 2. 熟悉Linux常用命令和工具。 【实验报告要求】 1. 分别叙述Linux常用命令、ctags+vim工具的功能和一般用法,包括常用选项。 2. 需要有实验记录。 【实验步骤】 一、常用命令用法: 1、对于不熟悉的命令请查看网页:https://www.doczj.com/doc/763918790.html,/ 2、ls的功能及常用选项,当以长格式显示目录下的内容列表时,输出的信息从 左到右依次包括文件类型、权限模式、硬连接数、所有者、组、文件大小和文件的最后修改时间、文件名等,对于文件类型和权限模式需要熟悉; 3、cd的功能及常用选项:-、/、~、.、..各表示什么意思? 4、mkdir、cp、mv、rm、pwd的功能及常用选项; 5、chmod的功能及常用选项; 6、find的功能及常用选项; 7、grep的功能及常用选项; 8、tar的功能及常用选项。 二、常用工具的安装和熟悉 1、下载ctags-5.8.tar.gz和vim-6.4.tar.bz2文件,复制到虚拟机的root目录下,用tar解压缩,然后安装。安装方法如下: 1)进入源代码根目录执行./configure, 2)然后执行make, 3)编译成功后执行make install。 2、进入/opt/WXL/wxl210/linux2.6.35wxl210exp20131202路径下,运行命令:

计算机硬件的组装实验报告

计算机硬件的组装实验 报告 TYYGROUP system office room 【TYYUA16H-TYY-TYYYUA8Q8-

计算机硬件的组装 实验时间:3月30日晚6:00-9:00 学号:姓名: 一、实验目的 1.加深对理论知识的理解,提高实际动手能力; 2.了解计算机的主要部件,理解各部件的功能,了解微型机的各项技术指标和参数。 3.能掌握现代计算机组成结构、内部部件的连接和装机步骤 4.能够熟练掌握计算机的基本组装技巧。 二、实验内容 1、了解计算机主要器件、外部设备的种类和发展情况; 2、掌握计算机主要器件、外部设备的主要性能指标; 3、知道如何选购计算机的主要器件和外部设备; 4、根据了解的知识,动手实践组装一台微型计算机系统; 5、了解并掌握计算机系统的调试、维护方法。 三、实验步骤 (一)计算机主要器件及外部设备 1、计算机系统硬件组成:微处理器、主板、内存、外存储器、输入系统设备、显示系统设备、机箱与电源。 2、计算机的结构构成和功能 ⑴.主板:主板是一块方形的电路板,在其上面分布着众多电 子元件和各种设备的插槽等。

⑵.主板的插座:主板上的插座主要是指主板上的CPU插座和电源插座。 ⑶. 主板的插槽 ⑷. 主板的芯片组:主板的芯片组是整个主板的核心,主板上各个部件的运行都是通过主板芯片组来控制的。 ⑸.CPU:CPU由控制器和运算器这两个主要部件组成。控制器是整个计算机系统的指挥中心。控制器的指挥控制下,运算器、存储器和输入/输出设备等部件协同工作,构成了一台完整的通用计算机。运算器是计算机中用于实现数据加工处理等功能的部件,它接受控制器的命令,负责完成对操作数据的加工处理任务,其核心部件是算术逻辑单元。 ⑹.内存:内存主要由内存颗粒、PCB电路板、金手指等部分组成。内存的作用是和CPU进行数据交换的,用于直接提供CPU要处理的数据,同时内存容量有限,它需要不断的从外存调入当前操作需要的数据以备CPU使用。 3.计算机的拆装 工具︰螺丝刀 ⑴.拆卸部件操作步骤: 关闭电源,用螺丝刀拆下螺丝,拆卸机 箱。观察主机各部件的连接线(电源和信号线),各部件的固定位置和方式(固定点、螺钉类型),并登记。拆除电源和信号线、板卡、内存、硬盘和软驱。(不要拆除CPU、风扇、主板) ⑵.安装计算机部件的操作步骤:

电子科技大学微处理器与嵌入式系统设计实验报告一

微处理器系统结构与嵌入式系统设计实验报告 微处理器系统结构与嵌入式系统设计实验名称 ARM基础编程实验 一、实验目的 1.熟悉并掌握常用ARM汇编指令 2.熟悉并掌握“C+汇编”混合编程技术 3.熟练使用ARM软件开发调试工具Keil 二、实验内容 1.学习使用Keil开发工具 2.使用ARM汇编语言,编程实现1+2+……+N累加运算功能 3.使用C调用汇编函数,实现字符串拷贝功能 4.使用汇编调用C函数,实现求和运算功能 5.使用ARM汇编语言,实现冒泡排序算法(选做) 三、实验步骤 1.实验1.1:运行Keil,建立工程文件,单步运行调试演示 示例程序,深刻理解每一条指令,观察寄存器,内存空间 的变化。 2.实验1.2:用汇编语言实现1+2+...+N的累加: a)建立新工程,加入实验1.2文件夹中的sum.s。 b)用汇编补充算法核心部分,代码参考流程图如下 图1.1所示。 c)使用单步调试,仔细观察过程中关键寄存器值的 变化。

微处理器系统结构与嵌入式系统设计实验报告 RO=R0+R1 R1=R1+1 SUM_END 结果溢出? R1>=N NO YES YES NO 图1.1 3. 实验1.3:C 调用汇编实现字符串拷贝功能: a) 建立新工程,加入实验1.3文件夹中的main.c 和testfile.s(同一个工程下添加两个文件一起编译)。 b) 补充完成源代码中缺失的部分,分别实现 1. 拷贝源字符串的一个字节到R2中; 2. 将拷贝的字节复制到目标空间。 c) 运行Debug 进行调试。 4. 实验1.4:汇编调用C 实现求和1+2+ (10) a) 建立新工程,加入实验1.4文件夹中的sum.c 和testfile.s(同一个工程下添加两个文件一起编译)。 b) 补充完成源代码中缺失的部分,通过调用c 函数g()实现1+2+3+glovb1,结果存在R8中。 c) 运行Debug 进行调试 5. 实验1.5:ARM 汇编实现冒泡算法: a) 建立新工程,加入实验1.5文件夹中的maopao.s 。 b) 补充完成源代码中缺失的部分,实现冒泡排序功能。 c) 运行Debug 进行调试 四、 实验结果 1. 实验1.2:用汇编语言实现1+2+...+N 的累加 R1自增1 R0暂存累加和

计算机硬件的组装实验报告

计算机硬件的组装 实验时间:3月30日晚6:00-9:00 学号:姓名: 一、实验目的 1.加深对理论知识的理解,提高实际动手能力; 2.了解计算机的主要部件,理解各部件的功能,了解微型机的各项技术指标和参数。 3.能掌握现代计算机组成结构、内部部件的连接和装机步骤 4.能够熟练掌握计算机的基本组装技巧。 二、实验内容 1、了解计算机主要器件、外部设备的种类和发展情况; 2、掌握计算机主要器件、外部设备的主要性能指标; 3、知道如何选购计算机的主要器件和外部设备; 4、根据了解的知识,动手实践组装一台微型计算机系统; 5、了解并掌握计算机系统的调试、维护方法。 三、实验步骤 (一)计算机主要器件及外部设备 1、计算机系统硬件组成:微处理器、主板、内存、外存储器、输入系统设备、显示系统设备、机箱与电源。 2、计算机的结构构成和功能 ⑴.主板:主板是一块方形的电路板,在其上面分布着众多电子 元件和各种设备的插槽等。

⑵.主板的插座:主板上的插座主要是指主板上的CPU插座和电源插座。 ⑶. 主板的插槽 ⑷. 主板的芯片组:主板的芯片组是整个主板的核心,主板上各个部件的运行都是通过主板芯片组来控制的。 ⑸.CPU:CPU由控制器和运算器这两个主要部件组成。控制器是整个计算机系统的指挥中心。控制器的指挥控制下,运算器、存储器和输入/输出设备等部件协同工作,构成了一台完整的通用计算机。运算器是计算机中用于实现数据加工处理等功能的部件,它接受控制器的命令,负责完成对操作数据的加工处理任务,其核心部件是算术逻辑单元。 ⑹.内存:内存主要由内存颗粒、PCB电路板、金手指等部分组成。内存的作用是和CPU进行数据交换的,用于直接提供CPU要处理的数据,同时内存容量有限,它需要不断的从外存调入当前操作需要的数据以备CPU使用。 3.计算机的拆装 工具︰螺丝刀 ⑴.拆卸部件操作步骤: 关闭电源,用螺丝刀拆下螺丝,拆卸机箱。 观察主机各部件的连接线(电源和信号线),各部件的固定位置和方式(固定点、螺钉类型),并登记。拆除电源和信号线、板卡、内存、硬盘和软驱。(不要拆除CPU、风扇、主板) ⑵.安装计算机部件的操作步骤:

哈尔滨工业大学微处理器原理与应用实验报告

微处理器原理与应用 实验报告 姓名:王烁行 同组人:张绍文、马文佳、孙蓦征 学号:1080520406 班级:0805204 指导教师:赵彬 院系:电子信息工程

1 实验一简单I/O口扩展实验(一) 交通灯控制实验 1.1实验要求 扩展实验箱上的74LS273作为输出口,控制八个发光二极管燃灭,模拟交通灯管理。 1.2实验目的 1.学习在单片机系统中扩展简单I/O接口的方法 2.学习数据输出程序的设计方法 3.学习模拟交通灯控制的实现方法 1.3实验原理 本实验需要用到实验箱上八个发光二极管中的六个,即红、黄、绿各两个。不妨将L1、L3、L5作为东西方向的指示灯,将L2、L4、L6作为南北方向的指示灯。而交通灯的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 各发光二极管共阳极,阴极接有与非门,因此使其点亮应使相应输入端为高电平。1.4 实验内容(包括实验电路和程序流程图) 按指导书搭接电路,调试程序并运行。

图1实验电路图 图2实验流程图

1.5 实验结果 实验现象与既定目标相符:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 1.6 实验结果讨论分析 实验中发现交通灯在黄灯和红绿灯切换的时候有抖动和延时,初步估计是单片机定时系统不稳定以及程序的延时冗余没有添加所导致。 1.7 实验程序代码 PORT EQU 0CFA0H ;片选地址CS0 ORG 0000H LJMP BEGIN ORG 4100H BEGIN: MOV A,#03H ;1、2亮,其余灭 ACALL SHOW ;调用273显示单元 ACALL T03 ;延时3秒 EW: MOV A,#12H ;东西导通;南北截止 ACALL SHOW ACALL T10 ;延时10秒 MOV A,#02H ;东西截止;南北截止 ACALL SHOW SNBY: MOV A,#04H ;3亮,其余灭 ACALL SHOW ;调用273显示单元 ACALL T02 ;延时2秒 MOV A,#00H ; ACALL SHOW ACALL T02 ;延时2秒 MOV A,#04H ;3亮 ACALL SHOW ACALL T02 ;延时2秒 MOV A,#00H ;灭 ACALL SHOW ACALL T02 ;2秒 MOV A,#04H ;3亮 ACALL SHOW

计算机组装过程实验报告

计算机组装过程实验报告 院系: 班级:姓名:学号: 一、组装前的准备 1)准备计算机配件 组装一台计算机的配件一般包括主板、CPU、CPU风扇、内存、显卡、声卡(主板中都有板载声卡,除非用户特殊需要)、光驱(VCD 或DVD)、机箱、机箱电源、键盘鼠标、显示器、数据线和电源线等。 2)准备装机工具 除了计算机配件以外,还需要准备要用到的螺丝刀、尖嘴钳、镊子等装机工具 (1)十字口螺丝刀:用于螺丝的安装或拆卸。最好使用带有磁性的螺丝刀,这样安装螺丝钉时可以将其吸住,在机箱狭小的空间内使用起来比较方便。 (2)一字口螺丝刀:用于辅助安装,一般用处不大。 (3)镊子:用来夹取各种螺丝、跳线和比较小的零散物品。例如,在安装过程中一颗螺丝掉入机箱内部,并且被一个地方卡住,用手又无法取出,这时镊子就派上用场了。 (4)尖嘴钳:主要用来拆卸机箱后面的挡板或挡片。不过,现在的机箱多数都采用断裂式设计,用户只需用手来回对折几次,挡板或挡片就会断裂脱落。当然,使用尖嘴钳会更加方便。 (5)散热膏(硅脂):在安装CPU时必不可少的用品。用户只需将散热膏涂到CPU上,帮助CPU和散热片之间的连接,以增强硬件的

散热效率。在选购时一定要购买优质的导热硅脂。 二、台式计算机组装过程 1.拆卸机箱 >确定机箱侧板固定螺丝的位置,将固定螺丝拧下。 >转向机箱侧面,将侧板向机箱后方平移后取下,并以相同方式将另一侧板取下。 >取出机箱内的零件包。 2.安装电源(P4电源) 主机电源一般安装在主机箱的上端靠后的预留位置上。 >开电源包装盒,取出电源。 >将电源安装到机箱内的预留位置。 >用螺丝刀拧紧螺丝,将电源固定在主机机箱内。 3.将CPU和CPU散热器安装在主板上 >抬起主板上的CPU零拔插力插座(ZIF)的压杆,将CPU底板缺针的角对着插座上的缺口,然后水平放下,将CPU插入插座,然后将压杆往下压,卡住以后CPU就安装到位了。 >将塑料卡子按入风扇插座的4个孔中。 >将风扇放入支架中,固定风扇。 >将风扇电源线插入主板标明的CPU-FAN插座。 4.安装内存条 >最好将内存条插在离CPU最近的内存插槽中,可以提高内存的读写速度。

计算机组成CPU数据通路verilog实验报告.doc

计算机组成与系统结构实验报告 院(系):计算机科学与技术学院 专业班级: 学号: 姓名: 同组者: 指导教师: 实验时间: 2012 年 5 月 23 日 实验目的:

完成处理器的单周期cpu的设计。 实验仪器: PC机(安装Altebra 公司的开发软件 QuartusII)一台 实验原理: 控制器分为主控制器和局部ALU控制器两部分。主控制器的输入为指令操作码op,输出各种控制信号,并根据指令所涉及的ALU运算类型产生ALUop,同时,生成一个R-型指令的控制信号R-type,用它来控制选择将ALUop输出作为ALUctr信号,还是根据R-型指令中的func字段来产生ALUctr信号。 实验过程及实验记录: 1.设计过程: 第一步:分析每条指令的功能,并用RTL来表示。 第二步:根据指令的功能给出所需的元件,并考虑如何将它们互连。 第三步:确定每个元件所需控制信号的取值。 第四步:汇总各指令涉及的控制信号,生成所反映指令与控制信号之间的关系图。 第五步:根据关系表,得到每个控制信号的逻辑表达式,据此设计控制电路。

2.完成代码的编写,并调试运行。 1)control module Control(op,func,Branch,Jump,RegDst,ALUSrc,ALUctr,MemtoReg, RegWr,MemWr,ExtOp); input [5:0] op,func; output reg Branch,Jump,RegDst,ALUSrc,MemtoReg,RegWr,MemWr,ExtOp; output reg [2:0] ALUctr; always @(op) case(op) 6'b000000: begin Branch=0;Jump=0;RegDst=1;ALUSrc=0;MemtoReg=0;RegWr=1;MemWr =0; case(func) 6'b100000:ALUctr=3'b001; 6'b100010:ALUctr=3'b101; 6'b100011:ALUctr=3'b100; 6'b101010:ALUctr=3'b111; 6'b101011:ALUctr=3'b110; endcase end 6'b001101: begin Branch=0;Jump=0;RegDst=0;ALUSrc=1;MemtoReg=0;RegWr=1;MemWr =0;ExtOp=0;ALUctr=3'b010; end 6'b001001: begin Branch=0;Jump=0;RegDst=0;ALUSrc=1;MemtoReg=0;RegWr=1;MemWr =0;ExtOp=1;ALUctr=3'b000; end

单片机实验报告

本科生实验报告 实验课程单片机原理及应用 学院名称核技术与自动化工程学院 专业名称电气工程及其自动化 学生姓名 学生学号 指导教师任家富 实验地点6C902 实验成绩 二〇一五年三月二〇一五年六月 单片机最小系统设计及应用 摘要 目前,单片机以其高可靠性,在工业控制系统、数据采集系统、智能化仪器仪表等领域得到极其广泛的应用。因此对于在校的大学生熟练的掌握和使用单片机是具有深远的意义。通过本次课程设计掌握单片机硬件和软件方面的知识,更深入的了解单片机的实际应用,本次设计课程采用STC89C52单片机和ADC0804,LED显示,键盘,RS232等设计一个单片机开发板系统。进行了LED显示程序设计,键盘程序设计,RS232通信程序设计等。实现了单片机的各个程序的各个功能。对仿真软件keil的应用提升了一个新的高度。单片机体积小、成本低、使用方便,所以被广

泛地应用于仪器仪表、现场数据的采集和控制。通过本实验的学习,可以让学生掌握单片机原理、接口技术及自动控制技术,并能设计一些小型的、综合性的控制系统,以达到真正对单片机应用的理解。 关键词:单片机;智能;最小系统;ADC;RS232;显示;STC89C52 第1章概述 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。单片机采用超大规模集成电路技术把具有数据处理能力的中央处理器CPU随机存储器RAM、只读存储器ROM、多种I/O口和中断系统、定时器/计时器等功能(可能还包括显示驱动电路、脉宽调制电路、模拟多路转换器、A/D转换器等电路)集成到一块硅片上构成的一个小而完善的微型计算机系统。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 它最早是被用在工业控制领域,由于单片机在工业控制领域的广泛应用,单片机由芯片内仅有CPU的专用处理器发展而来。最早的设计理念是通过将大量外围设备和CPU集成在一个芯片中,使计算机系统更小,更容易集成进复杂的而对体积要求严格的控制设备当中。 现代人类生活中所用的几乎每件电子和机械产品中都会集成有单片机。手机、电话、计算器、家用电器、电子玩具、掌上电脑以及鼠标等电脑配件中都配有1-2部单片机。汽车上一般配备40多部单片机,复杂的工业控制系统上甚至可能有数百台单片机在同时工作!单片机的数量不仅远超过PC机和其他计算的总和,甚至比人类的数量还要多。单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词——“智能型”,如智能型洗衣机等。 第2章实验内容 2.1单片机集成开发环境应用

华中科技大学HUST类MIPS单周期微处理器设计实验报告

类MIPS单周期微处理器设计 实验报告 专业: 班级: 学号: 姓名:

一、微处理器各模块设计 各模块的框图结构如上图所示。由图可知,该处理器包含指令存储器、数据存储器、寄存器组、ALU单元、符号数扩张、控制器、ALU控制译码以及多路复用器等。图中还忽略了一个单元:时钟信号产生器,而且以上各个部件必须在时钟信号的控制下协调工作。 1.指令存储器的设计 指令寄存器为ROM类型的存储器,为单一输出指令的存储器。因此其对外的接口为clk、存储器地址输入信号(指令指针)以及数据输出信号(指令)。 (1)在IP wizard 中配置ROM,分配128个字的存储空间,字长为32位宽。 (2)选择输入具有地址寄存功能,只有当时钟上升沿有效时,才进行数据的输出。 (3)配置ROM内存空间的初始化COE文件。最后单击Generate按钮生成IROM模块。

2.数据存储器的设计 数据存储器为RAM类型的存储器,并且需要独立的读写控制信号。因此其对外的接口输入信号为clk、we、datain、addr;输出信号为dataout。 数据存储器基本建立过程同ROM的建立。 3.寄存器组设计 寄存器组是指令操作的主要对象,MIPS中一共有32个32位寄存器。在指令的操作过程中需要区分Rs、Rt、Rd的地址和数据,并且Rd的数据只有在寄存器写信号有效时才能写入,因此该模块的输入为clk、RegWriteAddr、RegWriteData、RegWriteEn、RsAddr、RtAddr、reset;输出信号为RsData、RtData。 由于$0一直输出0,因此当RsAddr、RtAddr为0时,RsData以及RtData 必须输出0,否则输出相应地址寄存器的值。另外,当RegWriteEn有效时,数据应该写入RegWriteAddr寄存器。并且每次复位时所有寄存器都清零。 代码如下: module regFile( input clk, input reset, input [31:0] regWriteData, input [4:0] regWriteAddr, input regWriteEn, output [31:0] RsData, output [31:0] RtData, input [4:0] RsAddr, input [4:0] RtAddr

计算机硬件的组装实验报告

《计算机硬件的组装》实践项目报告 一.阅读了《计算机组装与维修》相关方面的书、资料 1.《计算机组装与维修》 2.如何选择CPU 3.如何选择主板 4.计算机的主要构造 二.通过阅读上述书籍和资料后对《计算机硬件的组装》实践项目中内容的认识 1.计算机的结构构成和功能 主板:结构构成:主板芯片组、CPU插槽、BIOS芯片、扩充插槽、电源插 座、内存插槽、硬盘和光驱的接口插座、软盘驱动器接口 插座、串行口、并行口、USB接口。 功能:计算机系统中用于连接各部件的物件 CPU:功能:CPU是计算机的心脏,它的性能强弱能直接决定计算机的性能,是衡量计算机档次的一个重要指标。 内存:种类:按功能分为只读存储器和随机存储器。 功能:保存CPU将要执行的指令和正在执行的指令信息。 外存储器:种类:软盘、硬盘和闪盘。 功能:保存运算信息与数据资料。 光驱:种类:CD--ROM驱动器、DVD---ROM、COMBO、刻录机。 功能:电脑用来读写光盘的机器。 输入系统设备:种类:键盘和鼠标。 功能:用于输入命令和数据,使操作更简单。 机箱:种类:立式机箱和卧式机箱。 功能:给计算机系统建立外观形象,给计算机系统的其他配件提供安装支架,还可以减轻机箱内向外辐射的电磁污染,保护用户的 健康和其它设备的正常使用。 电源:功能:给机箱内部的设备提供稳定可靠的直流电。 风扇:功能:散热,避免电脑运行时CPU温度过高。 2.计算机的拆装 工具:螺丝刀(分为一字的和十字的) 拆卸部件操作步骤: 1.关掉电脑,断开电源,拔掉机箱后面所有的接线。 2.将机箱放倒,便于拆卸,用螺丝刀拧下固定机箱盖的螺丝。 3.将机箱盖拿下来放在一边,然后拔掉各个部件之间的接线,由于跳线 众多,比较复杂,所以不拆卸。 4.拧下固定内存的螺丝,将内存取出放在一边。 5.拧下固定机箱中固定结构上的螺丝,取出机箱中的光驱、软驱和风扇, 放在一边。 6.将内存条从接口中拔出,注意按住固定内存条的卡子。 7.由于CPU和主板取下易损坏,就只是在机箱内观察。 8.仔细观察电脑的各个部件,记录相应的数据。

相关主题
文本预览
相关文档 最新文档