当前位置:文档之家› 01模拟电子技术第一章_基础知识

01模拟电子技术第一章_基础知识

2007年9月4日星期二1

模拟电子技术

Analog Electronic Technology

课程编号:1030011620

2007年9月4日星期二

2

主讲:刘波

教学实习: 兰玉文、孙华硕士

南开大学信息学院光电系

EMAIL: liubo@https://www.doczj.com/doc/7d6873917.html,

电话: 23509479

地址: 伯苓楼3区401 工作时间: 周一至周五8:30-11:30

14:30-17:30

2007年9月4日星期二3

教材和参考书

教材:《模拟电路基础》南开秦世才参考书:

1.模拟电子技术简明教程清华大学电子

学教研组高等教育出版社

2.电子技术基础康华光

3.模拟电子技术基础(第2版)(美)

Thomas L.Floyd,David Buchla

2007年9月4日星期二4

预备知识

普通物理 电路基础

2007年9月4日星期二5

教学安排

72学时

第10周

期中考试第19/20周期末考试

总评成绩分配

期中占30%,期末50%,

平时15%,课程设计5%

色环电阻:

绿

色环电阻分为四色环和五色环两种

银色。那么前两位为有效数字,乘方数,第四位为允差。

距离较大。前三位为有效数字,乘方数,

绕线电阻:RX

2007年9月4日星期二14

±10%:1.0 1.2 1.5 1.8 2.2 2.7 3.3

3.9

4.7

5.6

6.88.2

±5%:1.0 1.1 1.2 1.3 1.5 1.6 1.82.02.22.42.73.03.33.63.9

4.34.7

5.15.6

6.26.8

7.5

8.2

9.1

2007年9月4日星期二16

2007年9月4日星期二17

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

模拟电子技术试卷及答案样本

模拟试卷一 一、填空(16分) 1.半导体二极管的主要特性是___________ 。 2.三极管工作在放大区时, 发射结为____ 偏置, 集电结为_____偏置; 工作在饱和区时发射结为___偏置, 集电结为____偏置。3.当输入信号频率为fL和fH时, 放大倍数的幅值约下降为中频时的__倍, 或者是下降了__dB, 此时与中频时相比, 放大倍数的 附加相移约为_____ 。 4.为提高放大电路输入电阻应引入___反馈; 为降低放大电路输出电阻, 应引入_____反馈。 5.乙类功率放大电路中, 功放晶体管静态电流ICQ =____、静态时的电源功耗PDC =______。这类功放的能量转换效率在理想情况下, 可达到_____, 但这种功放有______失真。 6.在串联型稳压电路中, 引入了——负反馈; 为了正常稳压, 调整管必须工作在____区域。 二、选择正确答案填空(24分) 1.在某放大电路中, 测的三极管三个电极的静态电位分别为0 V, -10 V, -9.3 V, 则这只三极管是( )。 A.NPN 型硅管 B.NPN 型锗管 C.PNP 型硅管 D.PNP 型锗管2.某场效应管的转移特性如图1所示, 该管为 ( )。

A.P沟道增强型MOS管 B.P沟道结型场效应管 C.N沟道增强型MOS管 D.N沟道耗尽型MOS管 3.在图示2差分放大电路中, 若uI = 20 mV, 则电路的( )。 A.差模输入电压为10 mV, 共模输入电压为10 mV。 B.差模输入电压为10 mV, 共模输入电压为20 mV。 C.差模输入电压为20 mV, 共模输入电压为10 mV。 D.差模输入电压为20 mV, 共模输入电压为20 mV。 4.通用型集成运放的输入级采用差动放大电路, 这是因为它的( )。 A.输入电阻高 B.输出电阻低 C.共模抑制比大 D.电压放大倍数大 5.在图示电路中,Ri为其输入电阻, RS为常数, 为使下限频率fL 降低, 应( )。 A.减小C, 减小Ri B.减小C, 增大Ri C.增大C, 减小Ri D.增大C, 增大 Ri 6.如图所示复合管, 已知V1的 b1 = 30, V2的 b2 = 50, 则复

模拟电子技术基础知识点总结

模拟电子技术复习资料总结 第一章半导体二极管 一.半导体的基础知识 1.半导体---导电能力介于导体和绝缘体之间的物质(如硅Si、锗Ge)。 2.特性---光敏、热敏和掺杂特性。 3.本征半导体----纯净的具有单晶体结构的半导体。 4.两种载流子----带有正、负电荷的可移动的空穴和电子统称为载流子。 5.杂质半导体----在本征半导体中掺入微量杂质形成的半导体。体现的是半导体的掺杂特性。*P型半导体:在本征半导体中掺入微量的三价元素(多子是空穴,少子是电子)。 *N型半导体: 在本征半导体中掺入微量的五价元素(多子是电子,少子是空穴)。 6.杂质半导体的特性 *载流子的浓度---多子浓度决定于杂质浓度,少子浓度与温度有关。 *体电阻---通常把杂质半导体自身的电阻称为体电阻。 *转型---通过改变掺杂浓度,一种杂质半导体可以改型为另外一种杂质半导体。 7. PN结 * PN结的接触电位差---硅材料约为0.6~0.8V,锗材料约为0.2~0.3V。 * PN结的单向导电性---正偏导通,反偏截止。 8. PN结的伏安特性 二. 半导体二极管 *单向导电性------正向导通,反向截止。 *二极管伏安特性----同PN结。 *正向导通压降------硅管0.6~0.7V,锗管0.2~0.3V。 *死区电压------硅管0.5V,锗管0.1V。 3.分析方法------将二极管断开,分析二极管两端电位的高低: 若V阳>V阴( 正偏),二极管导通(短路); 若V阳

2) 等效电路法 直流等效电路法 *总的解题手段----将二极管断开,分析二极管两端电位的高低: 若V阳>V阴( 正偏),二极管导通(短路); 若V阳

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

模拟电子技术试卷(含答案)

一、填空(30分,每空1分) 1、半导体中存在两种载流子,分别为 电子和 空穴 。杂质半导体分为两种,分别为 N 型半导体 和 P 型半导体 。 2、三极管实现放大作用的内部结构条件是发射区掺杂浓度 高;基区做得 很薄 ,且掺杂浓度 低 。实现放大作用的外部条件是外加电源的极性应保证了射结正偏 ;而集电结 反偏 。 3、组成放大电路的基本原则是外加电源的极性应使三极管的 发射结 正向偏置,三极管的 集电结 反向偏置,以保证三极管工作在放大区。针对放大电路的基本分析方法有两种,分别为 微变等效法 和 图解法 。 4、基本放大电路有三种组态,即 共发射极 、 共基极 和 共集电极 。多级放大电路常用的耦合方式有三种,分别为 直接耦合、阻容耦合 和变压器耦合。多级放大电路的电压放大倍数为各级电压放大倍数的 代数乘积 。 13、电压负反馈使输出电压 稳定 ,因而降低了放大电路的 输出电阻;电流负反馈使输出电流 稳定 ,因而提高了电路的 输出电阻 。串联负反馈增大 放大电路的输入电阻,并联负反馈则减小输入电阻。在实际的负反馈放大电路中,有以下四种基本的组态,分别为 电压串联负反馈、电压并联负反馈 、电流串联负反馈、电流并联负反馈。 二、按要求选其中正确的一项填入括号(20分,每小题4分) 1、在某种纯净的半导体中掺入以下杂质可以形成N 型半导体。(D) A 、含四价元素的杂质 B 、含空穴的杂质 C 、三价元素镓 D 、五价元素磷 2、在三极管的基本组态电路中(B) A 、共集组态的电压增益最大 B 、共集组态的电压增益最小 C 、共发组态的电压增益最小 D 、共基组态的电压增益最小 3、差分放大器是一种直接耦合放大器,它(D) A 、只能放大直流信号 B 、只能放大交流信号 C 、不能放大交流信号 D 、可以抑制共模信号 4、在实际工作中调整放大器的静态工作点一般是通过改变(C) A 、发射极电阻 B 、集电极电阻 C 、基极电阻 D 、三极管的值 5、判断负反馈电路可能发生自激振荡的根据有(C ) A 、负反馈深度较大的两级放大电路; B 、环路增益的幅值 1 AF ; 得分 评卷人

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

模拟电子技术期末试题及答案

《模拟电子期末练习题》应用电子2班张昌文 《模拟电子技术》模拟试题一 填空题:(每空1分共40分) 1、PN结正偏时(导通),反偏时(截止),所以PN结具有(单向)导电性。 2、漂移电流是()电流,它由()载流子形成,其大小与()有关,而与外加电压()。 3、所谓理想二极管,就是当其正偏时,结电阻为(零),等效成一条直线;当其反偏时,结电阻为(无穷大),等效成断开; 4、三极管是(电流)控制元件,场效应管是(电压)控制元件。 5、三极管具有放大作用外部电压条件是发射结(正偏),集电结(反偏)。 6、当温度升高时,晶体三极管集电极电流Ic(变大),发射结压降(变小)。 7、三极管放大电路共有三种组态分别是(共)、()、()放大电路。 8、为了稳定三极管放大电路的静态工作点,采用()负反馈,为了稳定交流输出电流采用()负反馈。 9、负反馈放大电路和放大倍数AF=(),对于深度负反馈放大电路的放大倍数AF=()。 10、带有负反馈放大电路的频带宽度BWF=()BW,其中BW=(),()称为反馈深度。 11、差分放大电路输入端加上大小相等、极性相同的两个信号,称为()信号,而加上大小相等、极性相反的两个信号,称 为()信号。 12、为了消除乙类互补功率放大器输出波形的(交越)失真,而采用(甲乙类)类互补功率放大器。 13、OCL电路是(双)电源互补功率放大电路;OTL电路是(单)电源互补功率放大电路。 14、共集电极电路电压放大倍数(1),输入电阻(大),输出电阻(小),常用在输入级,输出级或缓冲级。 15、差分放大电路能够抑制(零点)漂移,也称(温度)漂移,所以它广泛应用于(集成)电路中。 16、用待传输的低频信号去改变高频信号的幅度称(调幅),未被调制的高频信号是运载信息的工具称(载波信号)。 17、模拟乘法器输出与输入的关系式是U0=(KU X U Y ),电路符号是()。 二、选择题 1、稳压二极管是一个可逆击穿二极管,稳压时工作在(B)状态,但其两端电压必须(C),它的稳压值Uz才有 导通电流,否则处于(F )状态。 A、正偏 B、反偏 C、大于 D、小于 E、导通 F、截止 2、用直流电压表测得放大电路中某三极管各极电位分别是2V、6V、2.7V,则三个电极分别是(C),该管是(D)型。 A、( B、 C、E)B、(C、B、E)C、(E、C、B) D、(NPN) E、(PNP) 3、对功率放大器的要求主要是(B)、(D)、(E)。A、U0高 B、P0大 C、功率大 D、Ri大 E、波形不失真 4、共射极放大电路的交流输出波形上半周失真时为(b ),此时应该( e )偏置电阻。 A、饱和失真 B、截止失真 C、交越失真 D、增大 E、减小 5、差分放大电路是为了(C)而设置的。A、稳定Au B、放大信号C、抑制零点漂移 6、共集电极放大电路的负反馈组态是(A )。A、压串负B、流串负C、压并负 7、差分放大电路RE上的直流电流IEQ近似等于单管集电极电流ICQ(B )倍。A、1 B、2 C、3 8、为了使放大器带负载能力强,一般引入(A )负反馈。A、电压B、电流C、串联

清华大学《模拟电子技术基础》习题解答与答案

第一章 半导体基础知识 自测题 一、(1)√ (2)× (3)√ (4)× (5)√ (6)× 二、(1)A (2)C (3)C (4)B (5)A C 三、U O1≈1.3V U O2=0 U O3≈-1.3V U O4≈2V U O5≈2.3V U O6≈-2V 四、U O1=6V U O2=5V 五、根据P CM =200mW 可得:U CE =40V 时I C =5mA ,U CE =30V 时I C ≈6.67mA ,U CE =20V 时I C =10mA ,U CE =10V 时I C =20mA ,将改点连接成曲线,即为临界过损耗线。图略。 六、1、 V 2V mA 6.2 A μ26V C C CC CE B C b BE BB B =-====-= R I U I I R U I β U O =U CE =2V 。 2、临界饱和时U CES =U BE =0.7V ,所以 Ω ≈-= == =-= k 4.45V μA 6.28mA 86.2V B BE BB b C B c CES CC C I U R I I R U I β 七、T 1:恒流区;T 2:夹断区;T 3:可变电阻区。 习题 1.1(1)A C (2)A (3)C (4)A 1.2不能。因为二极管的正向电流与其端电压成指数关系,当端电压为1.3V 时管子会因电流过大而烧坏。 1.3 u i 和u o 的波形如图所示。 1.4 u i 和u o 的波形如图所示。 t

1.5 u o 的波形如图所示。 1.6 I D =(V -U D )/R = 2.6mA ,r D ≈U T /I D =10Ω,I d =U i /r D ≈1mA 。 1.7 (1)两只稳压管串联时可得1.4V 、6.7V 、8.7V 和14V 等四种稳压值。 (2)两只稳压管并联时可得0.7V 和6V 等两种稳压值。 1.8 I ZM =P ZM /U Z =25mA ,R =U Z /I DZ =0.24~1.2k Ω。 1.9 (1)当U I =10V 时,若U O =U Z =6V ,则稳压管的电流为4mA ,小于其最小稳定电流,所以稳压管未击穿。故 V 33.3I L L O ≈?+= U R R R U 当U I =15V 时,由于上述同样的原因,U O =5V 。 当U I =35V 时,U O =U Z =5V 。 (2)=-=R U U I )(Z I D Z 29mA >I ZM =25mA ,稳压管将因功耗过大而损坏。 1.10 (1)S 闭合。 (2)。,Ω=-=Ω≈-=700)V (233)V (Dm in D m ax Dm ax D m in I U R I U R 1.11 波形如图所示。 1.12 60℃时I CBO ≈32μA 。 1.13 选用β=100、I CBO =10μA 的管子,其温度稳定性好。 1.14

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

模拟电子技术基础期末考试试题及答案 2

《模拟电子技术》模拟试题一 一、填空题:(每空1分共40分) 1、PN结正偏时(导通),反偏时(截止),所以PN结具有(单向) 导电性。 2、漂移电流是(温度)电流,它由(少数)载流子形成,其大小与(温 度)有关,而与外加电压(无关)。 3、所谓理想二极管,就是当其正偏时,结电阻为(0 ),等效成一条直线;当其 反偏时,结电阻为(无穷),等效成断开; 4、三极管是(电流)控制元件,场效应管是(电压)控制元件。 5、三极管具有放大作用外部电压条件是发射结(正偏),集电结(反偏)。 6、当温度升高时,晶体三极管集电极电流Ic(变小),发射结压降(不变)。 7、三极管放大电路共有三种组态分别是(共基)、(共射)、(共集) 放大电路。 8、为了稳定三极管放大电路的静态工作点,采用(电压并联)负反馈,为了稳 定交流输出电流采用(串联)负反馈。 9、负反馈放大电路和放大倍数AF=(1/(1/A+F)),对于深度负反馈放大电路 的放大倍数AF=(1/ F )。 10、带有负反馈放大电路的频带宽度BWF=()BW,其中BW=(), ()称为反馈深度。 11、差分放大电路输入端加上大小相等、极性相同的两个信号,称为()信号, 而加上大小相等、极性相反的两个信号,称为()信号。 12、为了消除乙类互补功率放大器输出波形的()失真,而采用()类互 补功率放大器。 13、OCL电路是()电源互补功率放大电路; OTL电路是()电源互补功率放大电路。 14、共集电极放大电路具有电压放大倍数(),输入电阻(),输出电阻 ()等特点,所以常用在输入级,输出级或缓冲级。 15、差分放大电路能够抑制()漂移,也称()漂移,所以它广泛应用于() 电路中。 16、用待传输的低频信号去改变高频信号的幅度称为(),未被调制的高频信 号是运载信息的工具,称为()。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

模拟电子技术基础试卷及其参考答案

模拟电子技术基础试卷及参考答案 试卷一专升本试卷及其参考答案 试卷一(总分150分) (成人高等学校专升本招生全国统一考试电子技术基础试卷之一) 一、选择题(本大题10个小题,每小题4分,共40分。在每小题给出的四个选项中,只有一项是符合题目要求的,把所选项前的字母填在题后的括号内。) 1. 用万用表的R ×100档测得某二极管的正向电阻阻值为500Ω,若改用R ×1k 档,测量同一二极管,则其正向电阻值( ) a. 增加 b. 不变 c. 减小 d. 不能确定 2. 某三极管各电极对地电位如图2所示,由此可判断该三极管( ) a. 处于放大区域 b. 处于饱和区域 c. 处于截止区域 d. 已损坏 图2 3. 某放大电路在负载开路时的输出电压为6V ,当接入2k Ω负载后,其输出电压降为4V ,这表明该放大电路的输出电阻为( ) a. 10k Ω b. 2k Ω c. 1k Ω d. 0.5k Ω 4. 某放大电路图4所示.设V CC >>V BE,L CEO ≈0,则在静态时该三极管处于( ) a.放大区 b.饱和区 c.截止区 d.区域不定 图4 5. 图5所示电路工作在线性放大状态,设L R '=R D //R L ,则电路的电压增益为( )

a.L m R g ' b.s m L m 1R g R g +'- C.L m R g '- d.m L /g R '- 图5 6. 图5中电路的输入电阻R i 为( ) a. R g +(R g1//R g2) b. R g //(R g1+R g2) c. R g //R g1//R g2 d. [R g +(R g1//R g2)]//(1+g m )R S 7. 直流负反馈是指( ) a. 存在于RC 耦合电路中的负反馈 b. 放大直流信号时才有的负反馈 c. 直流通路中的负反馈 d. 只存在于直接耦合电路中的负反馈 8. 负反馈所能抑制的干扰和噪声是( ) a. 输入信号所包含的干扰和噪声 b. 反馈环内的干扰和噪声 c. 反馈环外的干扰和噪声 d. 输出信号中的干扰和噪声 9. 在图9所示电路中,A 为理想运放,则电路的输出电压约为( ) a. -2.5V b. -5V c. -6.5V d. -7.5V 图9 10. 在图10所示的单端输出差放电路中,若输入电压△υS1=80mV, △υS2=60mV,则差模输入电压△υid 为( ) a. 10mV b. 20mV c. 70mV d. 140mV 图10

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

本科期末《模拟电子技术》试题与答案

图2 《模拟电子技术》试题 开卷( ) 闭卷(√) 考试时长:100分钟 一、单项选择题(10*2=20分) 每小题备选答案中,只有一个符合题意的正确答案。请将选定的答案,按答题卡的要求进 行填涂。多选、错选、不选均不得分。 1、半导体二极管加正向电压时,有( ) A 、电流大电阻小 B 、电流大电阻大 C 、电流小电阻小 D 、电流小电阻大 2、半导体稳压二极管正常稳压时,应当工作于( ) A 、反向偏置击穿状态 B 、反向偏置未击穿状态 C 、正向偏置导通状态 D 、正向偏置未导通状态 3、三极管工作于放大状态的条件是( ) A 、发射结正偏,集电结反偏 B 、发射结正偏,集电结正偏 C 、发射结反偏,集电结正偏 D 、发射结反偏,集电结反偏 4、三极管电流源电路的特点是( ) A 、输出电流恒定,直流等效电阻大,交流等效电阻小 B 、输出电流恒定,直流等效电阻小,交流等效电阻大 C 、输出电流恒定,直流等效电阻小,交流等效电阻小 D 、输出电流恒定,直流等效电阻大,交流等效电阻大 5、画三极管放大电路的小信号等效电路时,直流电压源VCC 应当( ) A 、短路 B 、开路 C 、保留不变 D 、电流源 6、为了使高内阻信号源与低阻负载能很好的配合,可以在信号源与低阻负载间接入( ) A 、共射电路 B 、共基电路 C 、共集电路 D 、共集-共基串联电路 7、测量放大电路中某三极管各电极电位分别为6V 、2.7V 、2V ,(见图2所示)则此三极 管为( ) A 、PNP 型锗三极管 B 、NPN 型锗三极管 C 、PNP 型硅三极管 D 、NPN 型硅三极管 8、当放大电路的电压增益为-20dB 时,说明它的电压放大倍数为( ) A 、20倍 B 、-20倍 C 、-10倍 D 、0.1倍 9、电流源的特点是直流等效电阻( ) A 、大 B 、小 C 、恒定 D 、不定 10、在单相桥式整流电容滤波电路中,设U2为其输入电压,输出电压的平均值约为( ) A 、U0=0.45U2 B 、U0=1.2U2 C 、U0=0.9U2 D 、U0=1.4U2 二、填空题(25*1=25分) 1.在常温下,硅二极管的门槛电压约为 V ,导通后在较大电流下的正向压降约为 V ;锗二极管的门槛电压约为 _ _V ,导通后在较大电流下的正向压降约为_ _V 。 2、二极管的正向电阻 ;反向电阻 。

模拟电子技术基础全套教案

《模拟电子技术基础》教案 1、本课程教学目的: 本课程是电气信息类专业的主要技术基础课。其目的与任务是使学生掌握常用半导体器件和典型集成运放的特性与参数,掌握基本放大、负反馈放大、集成运放应用等低频电子线路的组成、工作原理、性能特点、基本分析方法和工程计算方法;使学生具有一定的实践技能和应用能力;培养学生分析问题和解决问题的能力,为后续课程和深入学习这方面的内容打好基础。 2、本课程教学要求: 1.掌握半导体器件的工作原理、外部特性、主要参数、等效电路、分析方法及应用原理。 2.掌握共射、共集、共基、差分、电流源、互补输出级六种基本电路的组成、工作原理、特点及分析,熟悉改进放大电路,理解多级放大电路的耦合方式及分析方法,理解场效应管放大电路的工作原理及分析方法,理解放大电路的频率特性概念及分析。 3.掌握反馈的基本概念和反馈类型的判断方法,理解负反馈对放大电路性能的影响,熟练掌握深度负反馈条件下闭环增益的近似估算,了解负反馈放大电路产生自激振荡的条件及其消除原则。 4.了解集成运算放大器的组成和典型电路,理解理想运放的概念,熟练掌握集成运放的线性和非线性应用原理及典型电路;掌握一般直流电源的组成,理解整流、滤波、稳压的工作原理,了解电路主要指标的估算。 3、使用的教材: 杨栓科编,《模拟电子技术基础》,高教出版社 主要参考书目: 康华光编,《电子技术基础》(模拟部分)第四版,高教出版社 童诗白编,《模拟电子技术基础》,高等教育出版社, 张凤言编,《电子电路基础》第二版,高教出版社, 谢嘉奎编,《电子线路》(线性部分)第四版,高教出版社,

陈大钦编,《模拟电子技术基础问答、例题、试题》,华中理工大学出版社,唐竞新编,《模拟电子技术基础解题指南》,清华大学出版社, 孙肖子编,《电子线路辅导》,西安电子科技大学出版社, 谢自美编,《电子线路设计、实验、测试》(二),华中理工大学出版社, 绪论 本章的教学目标和要求: 要求学生了解放大电路的基本知识;要求了解放大电路的分类及主要性能指标。 本章总体教学内容和学时安排:(采用多媒体教学) §1-1 电子系统与信号0.5 §1-2 放大电路的基本知识0.5 本章重点: 放大电路的基本认识;放大电路的分类及主要性能指标。 本章教学方式:课堂讲授 本章课时安排: 1 本章的具体内容: 1节 介绍本课程目的,教学参考书,本课程的特点以及在学习中应该注意的事项和学习方法; 介绍放大电路的基本认识;放大电路的分类及主要性能指标。 重点: 放大电路的分类及主要性能指标。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

相关主题
文本预览
相关文档 最新文档