当前位置:文档之家› 译码器和数据选择器

译码器和数据选择器

译码器和数据选择器
译码器和数据选择器

实验四译码器及其应用

一、实验目的

1.掌握中规模集成译码器的逻辑功能和使用方法

2.熟悉数码管的使用

二、实验原理

译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器可分为通用译码器和显示译码器两类。前者又分为变量译码器和代码变换译码器。

1.变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。

以3线-8线译码器74LS138为例进行分析,图4-1(a)、(b)分别为其

逻辑图及引脚排列。其中 A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S为

使能端。

(a) (b)

图4-1 3-8线译码器74LS138逻辑图及引脚排列

表4-1为74LS138功能表

当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或 S1=X,2S+3S=1时,

译码器被禁止,所有输出同时为1。

表4-1

二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图4-2所示。若在S 1输入端输入数据信息,2S =3S =0,地址码所对应的输出是S 1数据信息的反码;若从2S 端输入数据信息,令S1=1、3S =0,地址码所对应的输出就是2S 端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。

根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。

二进制译码器还能方便地实现逻辑函数,如图4-3所示,实现的逻辑函数是 Z =C B A C B A C B A +++ABC

图4-2 作数据分配器 图4-3 实现逻辑函数

利用使能端能方便地将两个 3/8译码器组合成一个4/16译码器,如图4-4所示。

图4-4 用两片74LS138组合成4/16译码器

2.数码显示译码器

a.七段发光二极管(LED)数码管

LED数码管是目前最常用的数字显示器,图4-5(a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。

一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

(a) 共阴连接(“1”电平驱动) (b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能

图 4-5 LED数码管

b.BCD码七段译码驱动器

此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用

CC4511 BCD码锁存/七段译码/驱动器。驱动共阴极LED数码管。

图4-6为CC4511引脚排列

图4-6 CC4511引脚排列

其中

A、B、C、D—BCD码输入端

a、b、c、d、e、f、g—译码输出端,输出“1”有效,用来驱动共阴极LED数码管。

LT—测试输入端,LT=“0”时,译码输出全为“1”

BI—消隐输入端,BI=“0”时,译码输出全为“0”

LE —锁定端,LE=“1”时译码器处于锁定(保持)状态,译码输出保持在LE=0时的数值,LE=0为正常译码。

表4-2为CC4511功能表。CC4511内接有上拉电阻,故只需在输出端与数码管笔段之间串入限流电阻即可工作。译码器还有拒伪码功能,当输入码超过1001时,输出全为“0”,数码管熄灭。

表4-2

在本数字电路实验装置上已完成了译码器CC4511和数码管BS202之间的连接。实验时,只要接通+5V电源和将十进制数的BCD码接至译码器的相应输入端A、B、C、D即可显示0~9的数字。四位数码管可接受四组BCD码输入。CC4511与LED数码管的连接如图4-7所示。

图4-7 CC4511驱动一位LED数码管

三、实验设备与器件

1.+5V直流电源

2.双踪示波器

3.连续脉冲源

4.逻辑电平开关

5.逻辑电平显示器

6.拨码开关组

7、译码显示器8.74LS138×2 CC4511

四、实验内容

1.数据拨码开关的使用。

将实验装置上的四组拨码开关的输出A i、B i、C i、D i分别接至4组显示译码/驱动器CC4511的对应输入口,LE、BI、LT接至三个逻辑开关的输出插口,接上+5V显示器的电

源,然后按功能表6-2输入的要求揿动四个数码的增减键(“+”与“-”键)和操作与LE、BI、LT对应的三个逻辑开关,观测拨码盘上的四位数与LED数码管显示的对应数字是否一致,及译码显示是否正常。

2.74LS138译码器逻辑功能测试

将译码器使能端S1、2S、3S及地址端A2、A1、A0分别接至逻辑电平开关输出口,八个Y???依次连接在逻辑电平显示器的八个输入口上,拨动逻辑电平开关,按表4-

输出端0

7Y

1逐项测试74LS138的逻辑功能。

3. 3/8线译码器组合成一个4线—16线译码器,并进行实验。

五、实验预习要求

1.复习有关译码器和分配器的原理。

2.根据实验任务,画出所需的实验线路及记录表格。

六、实验报告

1.画出实验线路,把观察到的波形画在坐标纸上,并标上对应的地址码。

2.对实验结果进行分析、讨论。

实验五数据选择器及其应用

一、实验目的

1.掌握中规模集成数据选择器的逻辑功能及使用方法

2.学习用数据选择器构成组合逻辑电路的方法

二、实验原理

数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图5-1所示,图中有四路数据D0~D3,通过选择控制信号 A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。

数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。

数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。

1.八选一数据选择器74LS151

74LS151为互补输出的8选1数据选择器,引脚排列如图5-2,功能如表5-1。

选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,S为使能端,低电平有效。

图5-1 4选1数据选择器示意图图 5- 2 74LS151引脚排列表5-1

1)使能端S=1时,不论A2~A0状态如何,均无输出(Q=0,Q=1),多路开关被禁止。

2) 使能端S =0时,多路开关正常工作,根据地址码A 2、A 1、A 0的状态选择D 0~D 7中

某一个通道的数据输送到输出端Q 。

如:A 2A 1A 0=000,则选择D 0数据到输出端,即Q =D 0。

如:A 2A 1A 0=001,则选择D 1数据到输出端,即Q =D 1,其余类推。 2.双四选一数据选择器 74LS153

所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图5-3,功能如表5-2。

表5-2

图5-3 74LS153引脚功能

S 1、S 2为两个独立的使能端;A 1、A 0为公用的地址输入端;1D 0~1D 3和2D 0~2D 3分别

为两个4选1数据选择器的数据输入端;Q 1、Q 2为两个输出端。 1)当使能端S 1(S 2)=1时,多路开关被禁止,无输出,Q =0。

2)当使能端S 1(S 2)=0时,多路开关正常工作,根据地址码A 1、A 0的状态,将相应的数据D 0~D 3送到输出端Q 。

如:A 1A 0=00 则选择D O 数据到输出端,即Q =D 0。

A 1A 0=01 则选择D 1数据到输出端,即Q =D 1,其余类推。

数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。

3.数据选择器的应用—实现逻辑函数

例1:用8选1数据选择器74LS151实现函数

采用8选1数据选择器74LS151可实现任意三输入变量的组合逻辑函数。

作出函数F 的功能表,如表5-3所示,将函数F 功能表与8选1数据选择器的功能表相比较,可知(1)将输入变量C 、B 、A 作为8选1数据选择器的地址码A 2、A 1、A 0。(2)使8选1数据选择器的各数据输入D 0~D 7分别与函数F 的输出值一一相对应。

C

B C A B A F ++

=

表5-3

即:A 2A 1A 0=CBA , D 0=D 7=0

D 1=D 2=D 3=D 4=D 5=D 6=1

则8选1数据选择器的输出Q 便实现了函数 C B C A B A F ++=

接线图如图5-4所示。

图5-4 用8选1数据选择器实现C B C A B A F ++=

显然,采用具有n 个地址端的数据选择实现n 变量的逻辑函数时, 应将函数的输入变量加到数据选择器的地址端(A),选择器的数据输入端(D )按次序以函数F 输出值来赋值。

例2:用8选1数据选择器74LS151实现函数 B A B A F += (1)列出函数F 的功能表如表5-4所示。

(2)将A 、B 加到地址端A

1、A 0,而A 2接地,由表5-4可见,将D 1、D 2接“1”及D 0、D 3接地,其余数据输入端D 4~D 7都接地,则8选1数据选择器的输出Q ,便实现了函数

A B B A F +=

接线图如图5-5所示。

表5-4

图5-5 8选1数据选择器实现

B A B A F += 的接线图

显然,当函数输入变量数小于数据选择器的地址端(A )时,应将不用的地址端及不用的数据输入端(D )都接地。

例3:用4选1数据选择器74LS153实现函数

ABC C AB C B A BC A F +++=

函数F 的功能如表5-5所示 表5-6

函数F 有三个输入变量A 、B 、C ,而数据选择器有两个地址端A 1、A 0少于函数输入变量个数,在设计时可任选A 接A 1,B 接A 0。将函数功能表改画成5-6形式,可见当将输入变量A 、B 、C 中B 接选择器的地址端A 1、A 0,由表5-6不难看出:

D 0=0, D 1=D 2=C , D 3=1

则4选1数据选择器的输出,便实现了函数ABC C AB C B A BC A F +++=接线图如图5-6所示。

图5-6 用4选1数据选择器实现ABC

F+

A

+

=

+

BC

C

A

AB

B

C

当函数输入变量大于数据选择器地址端(A)时,可能随着选用函数输入变量作地址的方案不同,而使其设计结果不同,需对几种方案比较,以获得最佳方案。

三、实验设备与器件

1.+5V直流电源

2.逻辑电平开关

3.逻辑电平显示器

4.74LS151(或CC4512),74LS153(或CC4539)

四、实验内容

1.测试数据选择器74LS151的逻辑功能

接图5-7接线,地址端A2、A1、A0、数据端D0~D7、使能端S接逻辑开关,输出端Q 接逻辑电平显示器,按74LS151功能表逐项进行测试,记录测试结果。

图5-7 74LS151逻辑功能测试

2.测试74LS153的逻辑功能

测试方法及步骤同上,记录之。

3.用8选1数据选择器74LS151设计三输入多数表决电路

1)写出设计过程

2)画出接线图

3)验证逻辑功能

4.用双4选1数据选择器74LS153实现全加器

1)写出设计过程

2)画出接线图

3)验证逻辑功能

五、预习内容

1.复习数据选择器的工作原理;

2.用数据选择器对实验内容中各函数式进行预设计;

六、实验报告

用数据选择器对实验内容进行设计、写出设计全过程、画出接线图、进行逻辑功能测试;总结实验收获、体会。

实验3-组合逻辑电路数据选择器实验

南通大学计算机科学与技术学院计算机数字逻辑设计 实验报告书 实验名组合逻辑电路数据选择器实验 班级_____计嵌151_______________ 姓名_____张耀_____________________ 指导教师顾晖 日期 2016-11-03

目录 实验一组合逻辑电路数据选择器实验 (1) 1.实验目的 (1) 2.实验用器件和仪表 (1) 3.实验内容 (1) 4.电路原理图 (1) 5.实验过程及数据记录 (2) 6.实验数据分析与小结 (9) 7.实验心得体会 (9)

实验三组合逻辑电路数据选择器实验 1 实验目的 1. 熟悉集成数据选择器的逻辑功能及测试方法。 2. 学会用集成数据选择器进行逻辑设计。 2 实验用器件和仪表 1、8 选 1 数据选择器 74HC251 1 片 3 实验内容 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用 3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的电路。 4 电路原理图 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用

3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的 电路。 5 实验过程及数据记录 1、基本组合逻辑电路的搭建与测量 用 2 片 74LS00 组成图 3.1 所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

图 3.1 组合逻辑电路 (2)先按图 3.1 写出 Y1、Y2 的逻辑表达式并化简。 Y1==A·B ·A =A + A·B=A + B Y2=B·C ·B·A = A · B+ B ·C (3)图中 A、B、C 接逻辑开关,Y1,Y2 接发光管或逻辑终端电平显示。(4)改变 A、B、C 输入的状态,观测并填表写出 Y1,Y2 的输出状态。 表 3.1 组合电路记录

数字电路实验报告——数据选择器

第八次实验报告 实验六 数据选择器 一、实验目的要求 1、 熟悉中规模集成电路数据选择器的工作原理与逻辑功能 2、 掌握数据选择器的应用 二、实验仪器、设备 直流稳压电源、电子电路调试器、T4153、CC4011 三、实验线路、原理框图 (一)数据选择器的基本原理 数据选择器是常用的组合逻辑部件之一,它有若干个输入端,若干个控制输入端及一个输出端。 数据选择器的地址变量一般的选择方式是: (1) 选用逻辑表达式各乘积项中出现次数最多的变量(包括原变量与反变量),以简 化数据输入端的附加电路。 (2) 选择一组具有一定物理意义的量。 (二)T4153的逻辑符号、逻辑功能及管脚排列图 (1)T4153是一个双4选1数据选择器,其逻辑符号如图1: 图1 (2) T4153的功能表如下表 其中D0、D1、D2、D3为4个数据输入端;Y 为输出端;S 是使能端,在S 是使能端,在 原SJ 符号

S =0时使能,在S =1时Y=0;A1、A0是器件中两个选择器公用的地址输入端。该器件的 逻辑表达式为: Y=S (1A 0A 0D +101D A A +201D A A +301A A A ) (3) T4153的管脚排列图如图2 图2 (三)利用T4153四选一数据选择器设计一个一位二进制全减器的实验原理和实验线路 (1)一位二进制全减器的逻辑功能表见下表: n D =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C n C =n A n B 1-n C +n A n B 1-n C +n A n B 1-n C +n A n B 1-n C =n A n B 1-n C +n A n B +n A n B 1-n C (3)根据全减器的逻辑功能表设计出的实验线路图为图3: S 11D 3 1D 2 1D 1 1D 0 1Y

实验三 数据选择器及其应用

实验三数据选择器及其应用 一、实验目的 1.掌握数据选择器的逻辑功能和使用方法。 2.学习用数据选择器构成组合逻辑电路的方法。 二、实验原理 数据选择是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去。实现数据选择功能的逻辑电路称为数据选择器。它的功能相当于一个多个输入的单刀多掷开关,其示意图如下: 图9-1 4选1数据选择器示意图 图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中一路数据送至输出端Q。 1.八选一数据选择器74LS151 74LS151是一种典型的集成电路数据选择器,它有3个地址输入端CBA,可选择I0~I78个数据源,具有两个互补输入端,同相输出端Z和反相输出端Z。其引脚图和功能表分别如下: 2.双四选一数据选择器74LS153

所谓双四选一数据选择器就是在一块集成芯片上有两个完全独立的4选1数据选择器,每个数据选择器有4个数据输入端I0~I3,2个地址输入端S0、S1,1个使能控制端E和一 个输出端Z,它们的功能表如表9-2,引脚逻辑图如图9-3所示。 图9-3 74LS153引脚逻辑图表9-2 74LS153的真值表 其中,EA、EB(1、15脚)分别为A路和B路的选通信号,I0、I1、I2、I3为四个 数据输入端,ZA(7脚)、ZB(9脚)分别为两路的输出端。S0(14脚)、S1(2脚)为地址信号,8脚为GND,16脚为VCC。 3.用74LS151组成16选1数据选择器 用低三位A2A1A0作每片74LS151的片内地址码, 用高位A3作两片74LS151的片选信号。当A3=0时,选中74LS151(1)工作, 74LS151(2)禁止;当A3=1时,选中74LS151(2)工作, 74LS151(1)禁止,如下图所示。 图9-4用74LS151组成16选1数据选择器

数据选择器与数据分配器.

3.3 数据选择器与数据分配器 本次重点内容: 1、数据选择器的电路原理与功能。 2、用数据选择器实现函数。 3、数字分配器的电路和功能 教学过程 3.3.1 数据选择器 在多路数据传输过程中,经常需要将其中一路信号挑选出来进行传输,这就需要用到数据选择器。 在数据选择器中,通常用地址输入信号来完成挑选数据的任务。如一个4选1的数据选择器,应有两个地址输入端,它共有22=4种不同的组合,每一种组合可选择对应的一路输入数据输出。同理,对一个8选1的数据选择器,应有3个地址输入端。其余类推。 而多路数据分配器的功能正好和数据选择器的相反,它是根据地址码的不同,将一路数据分配到相应的一个输出端上输出。 根据地址码的要求,从多路输入信号中选择其中一路输出的电路,称为数据选择器。其功能相当于一个受控波段开关。多路输入信号:N个。输出:1个。地址码:n 位。应满足2n≥N。 (一、4选1数据选择器 1、逻辑电路:D3、D

2、D1、D0为数据输入端,A1、A0为地址信号输入端,Y为数据输出端,ST为使能端,又称选通端,输入低电平有效。 2、真值表:4选取1数据选择器的真值表。 3.由真值表可写出输出逻辑函数式 (二8选1数据选择器 MSI器件TTL 8:选1数据选择器CT74LS151 1.逻辑功能示意图:D 7、D

6 、D 5 、D 4 、D 3 、D 2 、D 1 、D 为数据输入端,A 2 、A 1 、A 为地址信 号输入端。Y和为互补输出端,ST为使能端,又称选通端,输入低电平有效。

2.数据选择器CT74LS151的真值表 3.输出逻辑函数: ?ST=1 , ??Y=0 , ??????????. ?ST=0 , ???????,??Y= (A 2A 1A 0D 0 +A 2A 1A 0D 1 +A 2A 1A 0D 2 +A 2A 1A 0D 3 +A 2A 1A 0D 4 +A 2A 1A 0D 5 +A 2A 1A 0D 6 + A 2A 1A 0D 7 ST Y= A 2A 1A 0D 0 +A 2A 1A 0D 1 +A 2A 1A 0D 2 +A 2A 1A 0D 3 +A 2A 1A 0D 4 +A 2A 1A 0D 5 +A 2A 1A 0D 6 + A 2A 1A 0D 7 (三用数据选择器实现组合逻辑函数 实现原理:数据选择器是一个逻辑函数的最小项输出器:

译码器和数据选择器

实验四译码器及其应用 一、实验目的 1.掌握中规模集成译码器的逻辑功能和使用方法 2.熟悉数码管的使用 二、实验原理 译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器可分为通用译码器和显示译码器两类。前者又分为变量译码器和代码变换译码器。 1.变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线-4线、3线-8线和4线-16线译码器。若有n个输入变量,则有2n个不同的组合状态,就有2n个输出端供其使用。而每一个输出所代表的函数对应于n个输入变量的最小项。 以3线-8线译码器74LS138为例进行分析,图4-1(a)、(b)分别为其 逻辑图及引脚排列。其中A2、A1、A0为地址输入端,0Y~7Y为译码输出端,S1、2S、3S 为使能端。 (a) (b) 图4-1 3-8线译码器74LS138逻辑图及引脚排列 表4-1为74LS138功能表 当S1=1,2S+3S=0时,器件使能,地址码所指定的输出端有信号(为0)输出,其 它所有输出端均无信号(全为1)输出。当S1=0,2S+3S=X时,或S1=X,2S+3S=1时,译码器被禁止,所有输出同时为1。 表4-1

二进制译码器实际上也是负脉冲输出的脉冲分配器。若利用使能端中的一个输入端输入数据信息,器件就成为一个数据分配器(又称多路分配器),如图4-2所示。若在S 1输入端输入数据信息,2S =3S =0,地址码所对应的输出是S 1数据信息的反码;若从2S 端输入数据信息,令S1=1、3S =0,地址码所对应的输出就是2S 端数据信息的原码。若数据信息是时钟脉冲,则数据分配器便成为时钟脉冲分配器。 根据输入地址的不同组合译出唯一地址,故可用作地址译码器。接成多路分配器,可将一个信号源的数据信息传输到不同的地点。 二进制译码器还能方便地实现逻辑函数,如图4-3所示,实现的逻辑函数是 Z =C B A C B A C B A +++ABC 图4-2 作数据分配器 图4-3 实现逻辑函数

数字电路实验二

实验2 数据选择器功能测试及设计应用 王玉通信工程 2012117266 一、实验目的 1.掌握中规模集成数据选择器的逻辑功能及测试方法。 2.掌握数据选择器的工作原理及使用方法。 二、实验仪器设备与主要器件 试验箱一个;双踪示波器一台;稳压电源一台。 双4选1数据选择器74LS153;8选1数据选择器74LS151和75LS251. 三、实验原理 能够实现从多路数据中选择一路进行传输的电路叫做数据选择器。数据选择器又称多路选择器,是中规模集成电路中应用非常广泛的组合逻辑部件之一。它是一种与分配器过程相反的器件。它有若干个数据输入端,D0,D1,D2,……,若干个控制输入端A0,A1……和一个或两个输出端Q(或Q非)。当控制输入码A0,A1……具有不同数据组合时,将选择组合码所对应的二进制数Dx输出。由于控制输入端的作用是选择数据输入端的地址,故又称为地址码输入端。 目前常用的数据选择器有2选1、4选1、8选1等多种类型。本实验主要熟悉4选1和8选1数据选择器。 四、实验内容与结果 1.测试74LS153的逻辑功能。 电路如下图: 测试结果为: A0 A1 s1s2Q1 Q2 * * 1 1 0 0 0 0 0 0 1D0 2D0 0 1 0 0 1D1 2D1 1 0 0 0 1D 2 2D2 1 1 0 0 1D3 2D3 2.用多路选择器设计实现一个8421-CD非法码检测电路。使得当输入端为非法码组合时输出1,否则为0.二进制数与BCD码的对应关系如下。写出函数Y的表达式,并进行化简,然后画出电路图,接线调试电路,用发光二极管显示输出结果,观察是否与表2-2-5相符。设

数据选择器及其应用

数据选择器及其应用

物联网工程 郭港国 26 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择 器的功能类似一个多掷开关,有四路数据D 0~D 3 ,通过选择控制信号 A 1 、A (地 址码)从四路数据中选中某一路数据送至输出端Q。 1、双四选一数据选择器 74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图4-1,功能如表4-1。 表4-1

图4-1 74LS153引脚功能 S1、S2为两个独立的使能端;A1、A0为公用的地址输入端;1D0~1D3和2D0~ 2D 3分别为两个4选1数据选择器的数据输入端;Q 1 、Q 2 为两个输出端。 1)当使能端S1(S2)=1时,多路开关被禁止,无输出,Q=0。 2)当使能端S1(S2)=0时,多路开关正常工作,根据地址码A 1、A 的状态, 将相应的数据D 0~D 3 送到输出端Q。 如:A 1A =00 则选择D O 数据到输出端,即Q=D 。 A 1A =01 则选择D 1 数据到输出端,即Q=D 1 ,其余类推。 数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。 2、数据选择器的应用—实现逻辑函数 例:用4选1数据选择器74LS153实现函数:ABC C AB C B A BC A F+ + + = 函数F的功能如表(4-2)所示 表4-2 表4-3

译码器和数据选择器实验报告

译码器和数据选择器 12级电子信息工程20121060192 朱加熊 实验目的 1、熟悉集成译码器和数据选择器。 2、掌握集成译码器和数据选择器的应用。 3、学习组合逻辑电路的设计。 实验仪器及材料 1、双踪示波器 2、器件: 74LS00 二输入端四“与非”门1片 74LS20 四输入端双“与非”门1片 74LS139 双2-4先译码器1片 74LS153 双4选1数据选择器1片 实验内容 1、译码器逻辑功能测试 将74LS139译码器按图3.1接线,按表3.1分别置位输入电平,填输出状态表。

仿真结果Y0 Y1

Y2 Y3

2、译码器转换 将双2-4线译码器转换为3-8译码器。 (1)、画出转换电路图。 (2)、在试验箱上接线并验证设计是否正确。 (3)、设计并填写该3-8线译码器逻辑功能表,画出输入、输出波形。 电路图

逻辑功能表 注:表中Y=Yi 表示Yi=0,其余输 出值为1 3、数据选择器的测试及应 用 (1)、将双4选1数据选择器74LS153参照图3.2接线,测试其逻辑功能并填写功能表3.2. A B C Y 0 0 0 Y0 0 1 Y1 0 1 0 Y 2 0 1 1 Y 3 1 0 0 Y 4 1 0 1 Y 5 1 1 0 Y 6 1 1 1 Y7

(2)、将试验箱上4个不同频率的脉冲信号接到数据选择器4个输入端,将选择端置位,使输入端分别观察到4种不同频率的脉冲信号。 (3)、分析上述实验结果并总结数据选择器的作用。 逻辑功能表 输出控制选择端数据输入端输出 E A1 A2 D3 D2 D1 D0Y H X X X X X X L L L L X X X L L L L L X X X H H L L H X X L X L L L H X X H X H L H L X L X X L L H L X H X X H

数据选择器与数据分配器

数据选择器与数据分配器 本次重点内容: 1、数据选择器的电路原理与功能。 2、用数据选择器实现函数。 3、数字分配器的电路和功能 教学过程 3.3.1 数据选择器 在多路数据传输过程中,经常需要将其中一路信号挑选出来进行传输,这就需要用到数据选择器。 在数据选择器中,通常用地址输入信号来完成挑选数据的任务。如一个4选1的数据选择器,应有两个地址输入端,它共有22=4种不同的组合,每一种组合可选择对应的一路输入数据输出。同理,对一个8选1的数据选择器,应有3个地址输入端。其余类推。 而多路数据分配器的功能正好和数据选择器的相反,它是根据地址码的不同,将一路数据分配到相应的一个输出端上输出。 根据地址码的要求,从多路输入信号中选择其中一路输出的电路,称为数据选择器。 其功能相当于一个受控波段开关。多路输入信号:N个。输出:1个。地址码:n 位。应满足2n≥N。 (一)、4选1数据选择器 1、逻辑电路:D3、D 2、D1、D0为数据输入端,A1、A0为地址信号输入端,Y为数据输出端,ST为使能端,又称选通端,输入低电平有效。 2、真值表:4选取1数据选择器的真值表。

3.由真值表可写出输出逻辑函数式 (二)8选1数据选择器 MSI 器件TTL 8:选1数据选择器CT74LS151 1.逻辑功能示意图:D 7、D 6、D 5、D 4、D 3、D 2、D 1、D 0为数据输入端,A 2、A 1、A 0为地址信号输入端。Y 和 为互补输出端,ST 为使能端,又称选通端,输入低电平有效。 2.数据选择器CT74LS151的真值表

3.输出逻辑函数: Y= (A2A1A0D0 +A2A1A0D1 +A2A1A0D2 +A2A1A0D3 +A2A1A0D4 +A2A1A0D5 +A2A1A0D6 + A2A1A0D7 )ST ?ST=1 , ??Y=0 , ??????????. ?ST=0 , ???????,?? Y= A2A1A0D0 +A2A1A0D1 +A2A1A0D2 +A2A1A0D3 +A2A1A0D4 +A2A1A0D5 +A2A1A0D6 + A2A1A0D7 (三)用数据选择器实现组合逻辑函数 实现原理:数据选择器是一个逻辑函数的最小项输出器:

实验二 数据选择器及其应用

实验二数据选择器及其应用 一、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-1 4选1数据选择器示意图图4-2 74LS151引脚排列 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。

二、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法; 2、学习用数据选择器构成组合逻辑电路的方法。 三、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、74LS151(或CC4512) 74LS153(或CC4539) 四、实验内容 1、测试数据选择器74LS151的逻辑功能。 接图4-7接线,地址端A2、A1、A0、数据端D0~D7、使能端S接逻辑开关,输出端Q接逻辑电平显示器,按74LS151功能表逐项进行测试,记录测试结果。 图4-7 74LS151逻辑功能测试

2、测试74LS153的逻辑功能。 测试方法及步骤同上,记录之。 逻辑功能见下表: 3、用8选1数据选择器74LS151设计三输入多数表决电路。 1)写出设计过程 有三个人进行表决,当其中任意两个人赞同时,输出为真,否则输出为假。真值表如下:

实验三译码器及其应用、数据选择器及其应用

实验三译码器及其应用、数据选择器及其应用 一、实验目的 1 ?掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2 ?用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本 方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端S B、S C和S A,当S A=1、 S B= S C =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平?利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1 ?译码器 一个n变量的译码器的输出包含了n变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变 量的全部最小项的译码?参见模拟电子技术基础教材中3线/8线译码器功能表. 用n变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n的组合逻辑电路. 2 ?数据选择器 一个n个地址端的数据选择器, 具有2n个数据选择的功能.例如,数据选择器74LS151, n=3,可完成八选一的功能?参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 丫A2AA0D0 A2AA0D1A 2 Al A o D 2 A? A1A0D 3 A2A A0D 4 A2A A0D 5 A2 A A) A2AA0D7 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1 ?三输入变量译码器功能测试 地址输入端AA1A0是一组三位二进制代码,其中A权最高,A o权最低,按实验电路图3-1接线,将实验结果填入

数据选择器及其应用解读

实验五数据选择器及其应用 [实验目的] 1、掌握中规模集成数据选择器的逻辑功能及使用方法。 2、学习用数据选择器构成组合逻辑电路的方法。 [实验原理] 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-5-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 图4-5-1 4选1数据选择器示意图图4-5-2 74LS151引脚排列 表4-5-1 1、8选1数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-5-2,功能如表4-5-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择1个需要的数据送到输出端Q,S为使能端,低电平有效。 (1)使能端S——=1时,不论A2~A0状态如何,均无输出(Q=0,Q——=1),多路开关被禁止。 (2)使能端S——=0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中

某一个通道的数据输送到输出端Q 。 如:A 2A 1A 0=000,则选择D 0数据到输出端,即Q=0。 如:A 2A 1A 0=001,则选择D 1数据到输出端,即Q=D 1,其余类推。 2、双四选一数据选择器74LS153 所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。74LS153的引脚排列如图4-5-3,功能如表4-5-2。 表4-5-2 图4-5-3 74LS153引脚功能 1S —— 、2S —— 为两个独立的使能端,A 1、A 0为公用的地址输入端;1D 0~1D 3和2D 0~2D 3 分别为两个4选1数据选择器的数据输入端;Q 1、Q 2为两个输出端。 (1)当使能端1S —— (2S —— )=1时,多路开关被禁止,无输出,Q=0. (2)当使能端1S —— (2S —— )=0时,多路开关正常工作,根据地址码A 1、A 0的状态,将相应的数据D 0~D 3送到输出端Q 。 如:A 1A 0=00,则选择D 0数据到输出端,即Q=D 0。 A 1A 0=01,则选择D 1数据到输出端,即Q=D 1,其余类推。 数据选择器的用途很多,例如多通道传输、数码比较、并行码变串行码以及实现逻辑函数等。 3、数据选择器的应用-实现逻辑函数 例1:用8选1数据选择器74LS151实现函数F=AB — +A — B (1)列出函数F 的功能表如表4-5-4所示。 (2)将A 、B 加到地址端A 1、A 0,而A 2接地,由表4-5-3可见,将D 1、D 2接“1”及D 0、D 3接地,其余数据输入端D 4~D 7都接地,则8选1数据选择器的输出Q ,便实现了函数 F=AB — +A — B 接线图如图4-5-4所示。 表4-5-3 图4-5-4 8选1数据选择器实现F=AB — +A — B 的接线图 显然,当函数输入变量数小于数据选择器的地址端(A)时,应将不用的地址端及不用的数据输入端(D)都接地。 例2:用双4选1数据选择器74LS153实现函数F= A — BC + AB — C +ABC — +ABC 函数F 的功能如表4-5-4所示。

实验二 数据选择器

实验二数据选择器 院系:信息科学与技术学院 专业:电子信息工程 姓名:刘晓旭 学号:2011117147

一.实验目的 1.掌握中规模集成数据选择器的逻辑功能及测试方法。 2.学习数据选择器的使用方法。 二.实验仪器及器材 稳压电源,数字多用表,四选一数据选择器74LS153,八选一数据选择器74LS151。 三 .实验原理 数据选择器又称多路选择器,是中规模集成电路中应用非常广泛的组合逻辑部件之一。它有若干个数据输入端D0 ,D1......,若干个控制输入端A0 ,A1 ......和一个两个输出Q,Q’。当A0,A1......数据不同时,将选择与其相应的输入控制端D X输出,由于控制输入端的作用是选择数据输入端的地址,故又称为地址输入端。 四.实验内容 1.利用逻辑电平产生电路和逻辑电平指示电路测试74LS153的逻辑功能,验证是否和功能表一致。 实验目的:利用逻辑电平产生电路和逻辑电平指示电路测试74LS153的逻辑功能,验证是否和功能表一致。 实验器材:直流电压源,开关,74LS153,电灯,逻辑控制开关 实验内容:测试74LS153的逻辑功能 74LS153为两个四选一数据选择器,S1’,S2’是每一个选择器的选通输入端,低电平有效。 A0,A1为公共的控制输入信号。1D0,1D1....1D3,2D0,2D1...2D3分别是每一选择器的数据输入端。 电路如图1 图1

74LS153的逻辑功能表2.1 注:测试过程中1G,2G 始终接地。当A,B 为00.01,10,11不同情况时,分别对应于1C 0, 2C 0; 1C 1,, 2C 1; 1C 2, 2C 2; 1C 3, 2C 3;的开关接上高电平,灯泡会发光,从真值表所列的功能来看,74LS153符合其逻辑功能。 2.设计一位二进制数A 和B 的比较器。 实验目的:用74LS153设计出一位二进制数A 和B 的比较器。 实验器材:74LS153,单刀双掷开关,直流电源,灯泡。 实验内容:当接至高电平时代表1,接至低电平时代表0; A>B 时,x1亮;AB I ,则地址码为 01,根据电路图看出Y 1Y 2=10;若A I

实验三译码器及其应用、数据选择器及其应用

实验三 译码器及其应用、数据选择器及其应用 一、实验目的 1.掌握采用中规模集成器件进行组合逻辑电路设计、电路连接及测试的方法. 2.用实验验证所设计电路的逻辑功能. 二、实验设备与器件 1.电子学实验装置 2.集成块74LS20、74LS00、74LS138、74LS151、74LS153。 三、实验原理 中规模集成器件多数是专用的功能器件,具有某种特定的逻辑功能,采用这些功能器件实现组合逻辑函数,基本方法是采用逻辑函数对比法. 中规模集成器件多数都带有控制端(片选端),例如译码器74LS138有三个附加控制端B S 、C S 和A S ,当A S =1、 B S = C S =0时,译码器才被选通工作,否则,译码器被禁止,所有的输出端被封锁在高电平.利用片选可将多片连接 起来以扩展译码器的功能. 在一般情况下,使用译码器和附加的门电路实现多输出逻辑函数较方便,使用数据选择器实现单输出逻辑函数较方便,当逻辑函数输出为输入变量相加时,则采用全加器实现较为方便. 1.译码器 一个n 变量的译码器的输出包含了n 变量的所有最小项.例如3线/8线译码器(74LS138)的8个输出包含了3个变量的全部最小项的译码.参见模拟电子技术基础教材中3线/8线译码器功能表. 用n 变量译码器加上输出与非门电路,就能获得任何形式的输入变量不大于n 的组合逻辑电路. 2.数据选择器 一个n 个地址端的数据选择器,具有2n 个数据选择的功能.例如,数据选择器74LS151,n=3,可完成八选一的功能.参见附录中八选一数据选择器(74LS151)的真值表.由真值表可写出: 21002101210221032104210521062107Y A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A D =+++++++ 数据选择器又称多路开关,其功能是把多路并行传输数据选通一路送到输出线上. 四、实验内容 1.三输入变量译码器功能测试 地址输入端A 2A 1A 0是一组三位二进制代码,其中A 2权最高,A 0权最低,按实验电路图3-1接线,将实验结果填入功能表3-1中.

实验二数据选择器

实验二数据选择器功能测试及设计应用 实验目的 1、掌握中规模集成数据选择器的逻辑功能及测试方法。 2、掌握数据选择器的使用方法。 一、实验仪器及器材 稳压电源、实验箱一个、双四选数据选择器74LS153、八选一数据选择器74LS151。 二、实验原理 1、4选1数据选择器 中规模集成电路74LS153为双四选数据选择器,其逻辑符号如图2-2-1所示,其中,1S、S分别为两个数据选择器的选通输入端,低电平有效。A0,、A1为公告控制输入端地址端,2 1D0、1D1、1D2、1D3与2D0、2D1、2D2、2D3分别为两个数据选择器的数据输入端,其功能表如表2-2-1所示。 有功能表得逻辑表达式: () =+++ Q A A D A A D A A D A A D S 110101011101210131 () =+++ 210201021102210232 Q A A D A A D A A D A A D S 2、8选1数据选择器74LS151 74LS151是常用的8选1数据选择器,用于各种数字电路和单片机系统的显示电路中。其功能如表2-2-2所示。 表2-2-2 74LS151的功能表

其中,S 为数据选择器的选通端,低电平有效。A0、A1、A2为地址码,D0~D7为数据输入端。 3、数据选择器的应用 (1)多路信号共用一个通道(总线)传输。 (2)变并行码为串行码。 (3)转换4位二进制码为补码。 (4)组成数码比较电路。 (5)实现逻辑函数。 三、实验内容 1,利用逻辑电平产生电路和逻辑电平指示电路测试74LS153的逻辑功能,验证是否和逻辑功能表一致。 1、根据题目可画出电路图为:

实验四数据选择器及其应用

实验四数据选择器及其应用 以下是为大家整理的实验四数据选择器及其应用的相关范文,本文关键词为实验,数据,选择器,及其,应用,实验,数据,选择器,及其,应,您可以从右上方搜索框检索更多相关文章,如果您觉得有用,请继续关注我们并推荐给您的好友,您可以在教育文库中查看更多范文。 实验四数据选择器及其应用 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法 2、学习用数据选择器构成组合逻辑电路的方法

二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 图4-14选1数据选择器示意图图4-274Ls151引脚排列 表4-1输入s输出A0×01010101Q0D0D1D2D3D4D5D6D7QA2×00001111A1×00110011100 0000001D0D1D2D3D4D5D6D7数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、 16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 1、八选一数据选择器74Ls151 74Ls151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,s为使能端,低电平有效。 1)使能端s=1时,不论A2~A0状态如何,均无输出(Q=0,Q=1),多路开关被禁止。

实验2 数据选择器功能测试及设计应用

实验2 数据选择器功能测试及设计应用专业:通信工程学号:2012119032 姓名:余海东 2.21 实验目的 1.掌握中规模集成数据选择器的逻辑功能及测试方法。 2.掌握数据选择器的工作原理及使用方法。 2.2.2 实验仪器设备与主要器件 试验箱一个;双踪示波器一台;稳压电源一台。 双4选1数据选择器74LS153;8选1数据选择器74LS151和75LS251. 2.2.3 实验原理 能够实现从多路数据中选择一路进行传输的电路叫做数据选择器。数据选择器又称多路选择器,是中规模集成电路中应用非常广泛的组合逻辑部件之一。它是一种与分配器过程相反的器件。它有若干个数据输入端,D0,D1,D2,……,若干个控制输入端A0,A1……和一个或两个输出端Q(或Q非)。当控制输入码A0,A1……具有不同数据组合时,将选择组合码所对应的二进制数Dx输出。由于控制输入端的作用是选择数据输入端的地址,故又称为地址码输入端。 目前常用的数据选择器有2选1、4选1、8选1等多种类型。本实验主要熟悉4选1和8选1数据选择器。下面分别介绍它们的逻辑功能。 2.24 实验内容 1.测试74LS153的逻辑功能,验证是否和表2-2-1的功能一致。 仿真图:

2.用多路选择器设计实现一个8421-CD非法码检测电路。使得当输入端为非法码组合时输出1,否则为0.二进制数与BCD码的对应关系如下。写出函数Y的表达式,并进行化简,然后画出电路图,接线调试电路,用发光二极管显示输出结果,观察是否与表2-2-5相符。设Y=0表示发光二极管熄灭,Y=1表示发光二极管点亮。 二进制数与BCD码的对应关系 二进制B BCD码 B3 B2 B1 B0 Q3 Q2 Q1 Q0 Y 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 1 0 0 1 0 0 0 1 0 0 0 0 1 0 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 0 1 1 1 0 1 1 1 0 1 0 0 0 1 0 0 0 0 1 0 0 1 1 0 0 1 0 1 0 1 0 0 0 0 0 1 1 0 1 1 0 0 0 1 1 1 1 0 0 0 0 1 0 1 1 1 0 1 0 0 1 1 1 1 1 1 0 0 1 0 0 1 1 1 1 1 0 1 0 1 1 表达式: Y=B3(B2B1B0+B2B1B0ˊ+ B2B1ˊB0+ B2B1ˊB0ˊ+ B2ˊB1ˊB0ˊ+ B2ˊB1B0ˊ)由题示真值表化简得Y=B3B2+B3B1 将74LS151的三个地址输入端A、B、C接B1、B2 、B3,将输入D5、D6、D7接1,其他都接0. 仿真图:

数电 实验四 数据选择器及其应用 实验报告

实验四数据选择器及其应用 一、实验目的 1.掌握中规模集成数据选择器的逻辑功能及使用方法 2.学习用数据选择器构成组合逻辑电路的方法 二、实验设备与器件 1.+5V直流电源 2.逻辑电平开关 3.逻辑电平显示器 4.74LS151 三、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图7-1所示,图中有四路数据D0~D3,通过选择控制信号A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。 数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。 数据选择器的电路结构一般由与或门阵列组成,也有用传输们开关和门电路混合而成的。 八选一数据选择器74LS151 74LS151为互补输出的8选1数据选择器,引脚排列如图4-2,功能如表4-1。 选择控制端(地址端)为A2~A0,按二进制姨妈,从8个输入数据D0~D7中,选择一个需要的数据送到输出端A, S为使能端,低电平有效。

1)使能端?S=1时,无论A2~A0状态如何,均无输出(Q=0,?Q=1),多路开关被禁止。2)使能端S=0时,多路开关正常工作。根据地址码A1、A2、A3的状态选择D0~D7中某一个通道的数据输送到输出端Q。 此处以A2A1A0=010为例,则选择D2数据到输出端,即Q=D2。 D2为0,?Q亮。D2为1,Q亮。 使能端为1,D2为1,?Q亮。使能端为1,D2变为0,?Q仍然亮。

74LS151功能测试结果表4-1 实现逻辑函数F(AB)=A?B+?AB+A B 设计过程:逻辑表

数电实验三 数据选择器和译码器应用

上海电力学院数字电路与数字逻辑 院(系):计算机科学与技术学院 实验题目:数据选择器和译码器应用 专业年级: 学生姓名: 学号:

一、实验目的和要求: 1、了解并掌握集成组合电路的使用方法。 2、了解并掌握仿真(功能仿真及时序仿真)方法及验证设计正确性。 3、使用数据选择器和译码器实现特定电路。 二、实验内容: 1.要求用数据选择器74153和基本门设计用3个开关控制1一个电灯的电路,改变任何一个开关的状态都能控制电灯由亮变暗或由暗变亮。(提示:用变量A、B、C表示三个开关,0、1表示通、断状态;用变量L表示灯,0、1表示灯灭、亮状态。)画出电路的原理图,将电路下载到开发板进行验证。 根据题意画出真值表如下 根据上表,可画出原理图

试验现象:当开关断开的数量是奇数时,灯是亮的,除此之外是灭的. 2. 人的血型有A,B,AB和O这4种,试用数据选择器74153和基本门设计一个逻辑电路,要求判断供血者和受血者关系是否符合下图的关系(提示:可用两个变量的4种组合表示供血者的血型,用另外两个变量的4种组合表示受血者的血型,用Y表示判断的结果)。画出电路的原理图,通过仿真进行验证。 真值表:

根据上表,可画出原理图 验证逻辑功能表,仿真结果如下

3.试用集成译码器74LS138和基本门实现1位全加器,画出电路连线图,并通过仿真验证其功能。 根据题意画出真值表如下 根据上表,可画出原理图

.验证逻辑功能表,仿真结果如下 4.试用数据选择器74151实现1位全加器电路,画出电路连线图,并通过仿真验证其功能。 原理图 .验证逻辑功能表,仿真结果如下图 三、实验小结: 通过本次试验,我更加了解集成组合电路的使用方法,了解并掌握了仿真包括功能仿真及时序仿真的方法及验证设计正确性。我还学会使用数据选

实验三 译码器、数据选择器及其应用

实验三译码器、数据选择器及其应用一、实验目的 1.熟练掌握集成译码器、数据选择器的工作原理、逻辑功能。2.熟练掌握集成译码器、数据选择器实现某些逻辑函数。 二、实验器件 1、3线-8线译码器74LS138×1 2、8选1数据选择器74LS151×1 3、4输入二与非门74LS20×1 4、六反相器74LS04×1 三、实验内容 1、74LS138的功能测试 (1)、74LS138引脚图: (2)、74LS138功能表:

注:2G =G 2A +G 2B 2、74LS138用作逻辑函数发生器 (1)、用74LS138和门电路实现逻辑函数 F=AB+AC+BC 实验步骤: 将逻辑函数转化为最小项逻辑表达式 画卡诺图: 由卡诺图得到:F=A BC+A B C+AB C +ABC=Σm (3,5,6,7) =7.6.5.3m m m m =7.6.5.3Y Y Y Y 用一片74LS138和一片74LS20搭建电路:

(2)、用74LS138和门电路实现逻辑函数F=A BC+A B C+AB C(判偶电路) (3)、用74LS138和门电路设计一个全加器 3、74LS151功能测试 (1)、74LS151引脚图: (2)、74LS151功能表:

4、74LS151和门电路实现逻辑函数 (1)、用74LS151和门电路实现逻辑函数F=AB+AC+BC 实验步骤: 将逻辑函数转化为最小项逻辑表达式 画卡诺图: 由卡诺图得到:F=A BC+A B C+AB C+ABC=Σm(3,5,6,7) =m0.0+m1.0+m2.0+m3.1+m4.0+m5.1+m6.1+m7.1 74LS151输出Y=m0.D0+m1.D1+m2.D2+m3.D3+m4.D4+m5.D5+m6.D6+m7.D7若令F=Y,A=C,B=B,C=A 则D0= D1= D2= D4=0 D3= D5= D6= D7=1 根据以上分析,画出电路图: (2)、用数据选择器74LS151实现函数F=Σm (0,2,7,8,13)。 F=A B C D+A(B C D)+A(BCD)+A B C D+A(B C D) =(A+A)B C D+ A(B C D)+A(BCD)+A(B C D) =1.m0+A.m2+A. m5+A m7

相关主题
文本预览
相关文档 最新文档