当前位置:文档之家› 数字电子技术课程设计电子秒表

数字电子技术课程设计电子秒表

数字电子技术课程设计电子秒表
数字电子技术课程设计电子秒表

数字电子技术课程设计电

子秒表

Revised by Jack on December 14,2020

数字电子技术课程设计报告题目:电子秒表的设计

专业:

班级:

姓名:

指导教师:

课程设计任务书

学生班级:学生姓名:学号:

设计名称:电子秒表的设计

起止日期:

指导教师:周珍艮

目录

绪论- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 4 第一章、设计要求

设计任务及目的- - - - - - - - - - - - - - - - - - - - - - -- - - - - - - - -5

系统总体框图- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 5

、设计方案分析- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -6

第二章、电路工作原理及相关调试

电路工作原理- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - 7

相关调试- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -- 11

第三章、实验总结

附录A 电子秒表原理图

附录B 相关波形

元件清单

参考文献

绪论

随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,掌握必要的电工电子知识已经成为当代大学生特别是理工类大学生必备的素质之一。

电子秒表是日常生活中比较常见的电子产品,秒表的逻辑结构主要由时基电路、分频器、二一五一十进制异步加法记数器、数据选择器和显示译码器等组成。整个秒表需有一个清零/ 启动信号和一个停止/保持信号装置,以便秒表能随意停止及启动,计数器的输出全都为BCD码输出,方便显示译码器连接。本次设计基于简单易行的原则,秒表显示以为最小单位,最大量程为,采用七段数码管作为显示部分,以此来达到基本设计要求.我门设计的秒表,是以555定时器为核心,以分频、计数与译码显示模块为主要构成部分的电子秒表的设计方案,充分利用数字电路的计数、译码、显示的优良特性,使整个设计达到了比较满意的效果。基本电路主

要有时基产生电路、电源电路、分频电路、计数与译码电路(包括显示电路)、开关按钮电路。所设计的电子秒表达到了设计要求的各项指标,并且在这个基础上进行了功能扩展,系统具有随时启动、停止以及清零功能。这次设计中不但对以前的知识进行巩固,而且学会了更多的新知识,提高思维、强化动手能力,能够更好地适应和走上工作岗位,为以后的就业打下一定的基础。

关键字:时基电路、分频器、计数器、译码器、BCD码

第一章、电路设计要求

设计任务及目的

一、设计任务

用中小规模集成电路设计一个电子秒表,基本要求如下:1.能显示两位数10进制数,其计数范围——。

2.具有清零、预置数、停止等功能。

二、设计目的

1、学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。

2、学习电子秒表的调试方法。

系统总体框图

电子秒表主要有分频器、计数模块、功能控制模块、势能控制模块和显示输出模块组成。系统框图如图1所示。

系统功能要求:

(1)具有时钟秒表系统功能要求显示功能,用4个数码管分别显示秒和百分秒。

(2)具有3种功能状态:系统时间运行状态,系统时间至零状态,时钟正常显示状态,通过输入控制信号可以使系统在这3个状态之间切换,使数码管显示相应状态的时间;

(3)开启时间设定、关闭时间设定可通过控制信号中的时间调节来设置,在秒设置方面每按一下,秒就会自动加1,采用60进制计数,当计数到59时又会恢复为00;百分秒设置方面每按一下,百分秒会自动加1,采用100进制计数,当计数到99时,向上进位并恢复00。系统时间可以同单独的至零信号,将数码管显示时间直接恢复到状态。

设计方案分析

(1). 基准脉冲器

根据设计要求,最小单位为秒,为减小计时误差,依次脉冲源产生

100Hz信号。此信号用

555计时器构成的多谐振荡器产生。根据公式频率f=[(R1+2R2)C1设置合适的阻容参数,使

脉冲源产生100Hz的脉冲信号。

(2). 计数及译码显示

二—五—十进制加法计数器74LS90构成电子秒表的计数单元,首先用一个74LS90对多谐振荡器产生的100Hz的脉冲信号进行十分频,然后,将输出端QD 取得周期为的矩形脉冲送入图二中右边的计数器中,图中两

计数器都接成8421码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示~秒;1~秒计时。

(3). 控制部分

节拍信号发生器选用一片74LS195构成的三位环形计数器来实现。74LS195为四位单向移位寄存器,环形计数器的输出Q0、Q1、Q2分别作为计数部分的清零信号、计时信号和停止信号。~CLR端外接的R、C加电清零电路,当接通电源时,由于电容C两端的电压不能突变,故为低电平,环形清零。随后UC被充电到+5V,清零信号撤消。电路中增加一个或非门使电路具有自启动功能。一个开关控制三种工作态。

(4).脉冲发生器

由基本RS触发器构成的单脉冲发生器为节拍信号发生器提供时钟脉冲。每按动一次开关,Q端就产生一个单脉冲,用以控制三种工作状态的转换。用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。按动按钮开关A(接地),则输出=1;B复位后Q、状态保持不变。再按动按钮开关A ,则输出由0变为1,使移位寄存器置入0001, 为计数器清零。再次按动B、A使移位寄存器向右移位,经与多谐振荡器产生的脉冲相与后开始计时,再次按动B、A,移位寄存器的QC置1,使计数器停止计数。

第二章、电路工作原理及相关调试

电路工作原理

图1为电子秒表的电原理图。按功能分成四个单元电路进行分析。

1、基本RS触发器

图1中单元I为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。

它的一路输出Q作为单稳态触发器的输入,另一路输出Q作为与非门5的输入控制信号。

图1 电子秒表原理图

按动按钮开关K2(接地),则门1输出Q=1;门2输出Q=0,K2复位后Q、Q状态保持不变。再按动按钮开关K1 ,则Q由0变为1,门5开启, 为计数器启动作好准备。Q由1变0,送出负脉冲,启动单稳态触发器工作。

基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。

2、单稳态触发器

图1中单元Ⅱ为用集成与非门构成的微分型单稳态触发器,图2为各点波形图。

单稳态触发器的输入触发负脉冲信号v i由基本RS触发器Q端提供,输出负脉冲v O通过非门加到计数器的清除端R。

静态时,门4应处于截止状态,故电阻R必须小于门的关门电阻

R Off 。定时元件RC取值不同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的R P和C P。

单稳态触发器在电子秒表中的职能是为计数器提供清零信号。

3、时钟发生器

图1中单元Ⅲ为用555定时器构成的多谐振荡器,是一种性能较好的时钟源。调节电位器 R W,使在输出端3获得频率为50HZ的矩形波信

号,当基本RS触发器Q=1时,门5开启,此时50HZ脉冲信号通过门5作为计数脉冲加于计数器①的计数输入端CP2。

图2单稳态触发器波形图图3 74LS90引脚排列

4、计数及译码显示

二—五—十进制加法计数器74LS90构成电子秒表的计数单元,如图1中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为50HZ的时钟脉冲进行五分频,在输出端Q D取得周期为的矩形脉冲,作为计数器②的时钟输入。计数器②及计数器③接成8421码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示~秒;1~秒计时。注:集成异步计数器74LS90

74LS90是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。图3为74LS90引脚排列,表1为功能表。

通过不同的连接方式,74LS90可以实现四种不同的逻辑功能;而且还可借助R0(1)、R0(2)对计数器清零,借助S9(1)、S9(2)将计数器置9。其具体功能详述如下:

(1)计数脉冲从CP1输入,Q A作为输出端,为二进制计数器。

(2)计数脉冲从CP2输入,Q D Q C Q B作为输出端,为异步五进制加法计数

器。

(3)若将CP2和Q A相连,计数脉冲由CP1输入,Q D、Q C、Q B、Q A作为

输出端,则构成异步8421码十进制加法计数器。

(4)若将CP1与Q D相连,计数脉冲由CP2输入,Q A、Q D、Q C、Q B作为

输出端,则构成异步5421码十进制加法计数器。

(5)清零、置9功能。(a)异步清零:当R0(1)、R0(2)均为“1”;S9(1)、

S9(2)中有“0”时,实现异步清零功能,即Q D Q C Q B Q A=0000。

(b)置9功能:当S9(1)、S9(2)均为“1”;R0(1)、R0(2)中有“0”时,实现置9功能,即Q D Q C Q B Q A=1001。

表1

相关调试

由于电路中使用器件较多,必须将各单元电路逐个进行接线和调试,即分别测试基本RS触发器、单稳态触发器、时钟发生器及计数器的逻辑功能,待各单元电路工作正常后,再将有关电路逐级连接起来进行测试……,直到测试电子秒表整个电路的功能。这样的测试方法有利于检查和排除故障,保证设计顺利进行。

1、基本RS触发器的测试

2、单稳态触发器的测试

(1)静态测试

用直流数字电压表测量A、B、D、F各点电位值。并记录。

(2)动态测试

输入端接1KHZ连续脉冲源,用示波器观察并描绘D点(v D、)F点(v0)波形,如嫌单稳输出脉冲持续时间太短,难以观察,可适当加大微分电容C(如改为μ)待测试完毕,再恢复4700P。

3、时钟发生器的测试

测试方法参考实验十五,用示波器观察输出电压波形并测量其频率,调节R W,使输出矩形波频率为50Hz。

4、计数器的测试

(1) 计数器①接成五进制形式,R O(1)、R O(2)、S9(1)、S9(2)接逻辑开关输出插口,CP2接单次脉冲源,CP1接高电平“1”,Q D~Q A接实验设备上译码显示输入端D、C、B、A,按表17-1测试其逻辑功能,记录之。

(2) 计数器②及计数器③接成8421码十进制形式,同内容(1)进行逻辑功能测试。记录之。

(3) 将计数器①、②、③级连,进行逻辑功能测试。记录之。

5、电子秒表的整体测试

各单元电路测试正常后,按图把几个单元电路连接起来,进行电子秒表的总体测试。

先按一下按钮开关K2,此时电子秒表不工作,再按一下按钮开关K1,则计数器清零后便开始计时,观察数码管显示计数情况是否正常,如不需要计时或暂停计时,按一下开关K2,计时立即停止,但数码管保留所计时之值。

6、电子秒表准确度的测试

利用电子钟或手表的秒计时对电子秒表进行校准。

第三章、实验总结

1)设计小结

本次课程设计题目是电子秒表,在选定这个题目后,我们并没有立即开始设计,而是先参加了大量的关于电子技术设计方面的书过后才开始着手设计,我们第一步是按设计要求来确定该题目可能需要的电子元件,再慢慢更具每个电子原件的功能及题目要求一一筛选,最终确定用哪些原件;第二不是设计方案并确定,确定后开始设计实际电路,实际电路设计好后,便开始按要求写设计及报告。

2)心得体会

电子秒表同实际联系的比较紧密,所以做起来会很有兴趣。调试过程中如果结果出不来,一定要静下心来检查线路问题,不要急于通电,检查过程中可以用指针万用表依据各引脚电平特点测试以此排除和锁定故障的位置.值得注意的是,在加电启动完成,秒表也立刻启动开始计时了,但不是很影响秒表的使用. 在设计的过程中,我发现了我理论知识上的一些漏洞,这给了我不少压力,于是我又挤出时间努力加深文化知识。通过这次课程设计,我也学到了很多,首先是加深了对课本基础知识的理解,其次

理解了做一个大的电路设计时应该遵循的思路和方法。设计过程中,通过查阅相关书籍,上网搜索相关资料,拓展了自己的知识范围,并且培养了自己严谨认真的习惯。

附录A 电子秒表原理图

附录B 1、555振荡器输出波形:

2、秒计数单元逻辑功能输出波形:

可调电阻W104100k

555振荡器NE555CN1快

与非门74LS006快

计数器74LS903快

译码器HCF45112快

共阴数码管LG5011AH2快

通用版150mm x 320mm1快

元件清单

参考文献

1. 电子线路CAD实用教程(第三版)潘永雄沙河主编

2. 阎石.数字电子技术基础(第四版)高等教育出版社

3. 童诗白等.《模拟电子技术基础》北京:高等教育出版社

4. 高吉祥.电子技术基础实验和课程设计。电子工业出版社

5. 谢自美.《电子线路设计·实验·测试》武汉:华中科技大学出版社

6. 张庆双.电子元器件的选用和检测。机械工业出版社

7. 梅开乡.《数字逻辑电路学习与实训指导》北京:电子工业出版社

你吗B里有瞎,你吗B是黑木耳,你吗就是个妓1女,你吗被人操的好爽,你吗的BB好紧,被1我1操的好舒服。你吗B里的1淫1液流出来了,你吗的叫声好1淫1荡啊,你吗的呻吟真好听.你吗就是个公共厕所,被人操的B里都出血了。我真想说你吗就是个大傻 13,被人轮草被人骑。你吗就是个骚1妓1女,你1爸帽子真是绿。

相关主题
文本预览
相关文档 最新文档