当前位置:文档之家› 通用分频器基本原理

通用分频器基本原理

通用分频器基本原理
通用分频器基本原理

整数分频包括偶数分频和奇数分频,对于偶数N分频,通常是由模N/2计数器实现一个占空比为1:1的N分频器,分频输出信号模N/2自动取反。对于奇数N分频,上述方法就不适用了,而是由模N计数器实现非等占空比的奇数N分频器,分频输出信号取得是模N计数中的某一位(不同N值范围会选不同位)。这种方法同样适用于偶数N 分频,但占空比不总是1:1,只有2的n次方的偶数(如4、8、16等)分频占空比才是1:1。这种方法对于奇数、偶数具有通用性。半整数分频器也是在这种方法基础上实现的。除了一个模N计数器,还需要一个异或模块和一个2分频模块。半整数分频器原理如图1所示:半整数分频器设计思想:通过异或门和2分频模块组成一个改变输入频率的脉冲添加电路,也就是说个输入信号周期内产生了N个计数脉冲,即输入信号其中的一个含一个脉冲的周期变为含两个脉冲的周期。而这一改变正是输入频率与2分频输出异或的结果。由2分频输出决定一个周期产生两个脉冲有两种方式:当一个输入信号来一个脉冲(前半周期)时,2分频输出变为‘1’,clk_in取反,后半周期就会产生一个脉冲;2分频输出由‘1’变为‘0’时,clk_in 刚把一个周期(前半周期)内低电平变为高电平产生一个脉冲,而后半周期的脉冲与‘0’异或不变。从而实现分频。要实现奇数、偶数、半整数通用分频器只需再加一个控制选择信号sel。当sel=‘1’时,clk_in与2分频输出异或,实现半整数分频;当sel=‘0’时,只选通clk_in,实现整数分频。通用分频器原理如图2所示:Verilog语言的实现本设计采用层次化的设计方法,首先设

计通用分频器中各组成电路元件,然后通过元件例化的方法,调用各元件,实现通用分频器。1、选择异或门模块half_select:modulehalf_select(sel,a,b,c); outputc; inputsel,a,b; xoru1(w,a,b); assignc=sel?w:a; (当sel=‘1’时,clk_in 与2分频输出异或,实现半整数分频;当sel=‘0’时,只选通clk_in,实现整数分频。)endmodule 2、模N计数器counter_n:实现参数化设计N可取2~256,也可增加count位数使N可取更大的值。以N=7为例通过设置sel分别实现奇数7分频和半整数分频。modulecounter_n(reset,en,clk_in,clk_out,count);

parameterN=7;inputreset,en,clk_in;outputclk_out; output[7:0]count;regclk_out;reg[7:0]count; always@(posedgeclk_in)begin if(reset)begin count[7:0]=0;end elseif(en)begin if(count==(N-1))count=0;else count=count1; end end always begin if(N clk_out=count[0]; elseif(N clk_out=count;elseif(N clk_out=count; elseif(N 在复杂数字逻辑电路设计中,经常会用到多个不同的时钟信号。介绍一种通用的分频器,可实现2~256之间的任意奇数、偶数、半整数分频。首先简要介绍了FPGA器件的特点和应用范围。接着介绍了通用分频器的基本原理和分类,并以分频比为奇数7和半整数的分频器设计为例,介绍了在QuartusII开发软件下,利用Verilog硬件描述语言来设计数字逻辑电路的过程和方法。在数

字逻辑电路设计中,分频器是一种基本电路。我们常会遇到偶数分频、奇数分频、半整数分频等,在同一个设计中有时要求多种形式的分频。通常由计数器或计数器的级联构成各种形式的偶数分频和奇数分频,实现较为简单。但对半整数分频分频实现较为困难。但在某些场合下,时钟源与所需的频率不成整数倍关系,此时可采用小数分频器进行分频。例如:时钟源信号为130MHz,而电路中需要产生一个20MHz的时钟信号,其分频比为,因此根据不同设计的需要,本文利用Verilog 硬件描述语言,通过MAXplusII开发平台,使用Altera公司的FLEX 系列EPF10K10LC84-3型FPGA,设计了一种能够满足上述各种要求的较为通用的分频器。基于查找表(LUT)的FPGA的结构特点查找表(Look-Up-Table)简称为LUT,LUT本质上就是一个RAM。目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的16x1的RAM。当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。由于LUT主要适合SRAM工艺生产,所以目前大部分FPGA都是基于SRAM工艺的,而SRAM工艺的芯片在掉电后信息就会丢失,一定需要外加一片专用配置芯片,在上电的时候,由这个专用配置芯片把数据加载到FPGA中,然后FPGA就可以正常工作,由于配置时间很短,不会影响系统正常工作。也有少数FPGA采用反熔丝或Flash工艺,对这种FPGA,就不需要外加专用的配置芯片。FPGA

(FieldprogrammableGatesArray,现场可编程门阵列)都是可编程逻辑器件,它们是在PAL、GAL等逻辑器件基础上发展起来的。同以往的PAL、GAL相比,FPGA/CPLD的规模比较大,适合于时序、组合等逻辑电路的应用。它可以替代几十甚至上百块通用IC芯片。这种芯片具有可编程和实现方案容易改动等特点。由于芯片内部硬件连接关系的描述可以存放在磁盘、ROM、PROM、或EPROM中,因而在可编程门阵列芯片及外围电路保持不动的情况下,换一块EPROM芯片,就能实现一种新的功能。它具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及实时在检验等优点,因此,可广泛应用于产品的原理设计和产品生产之中。几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。在现代电子系统中,数字系统所占的比例越来越大。系统发展的越势是数字化和集成化,而FPGA作为可编程ASIC(专用集成电路)器件,它将在数字逻辑系统中发挥越来越重要的作用。通用分频器基本原理整数分频包括偶数分频和奇数分频,对于偶数N分频,通常是由模N/2计数器实现一个占空比为1:1的N 分频器,分频输出信号模N/2自动取反。对于奇数N分频,上述方法就不适用了,而是由模N计数器实现非等占空比的奇数N分频器,分频输出信号取得是模N计数中的某一位(不同N值范围会选不同位)。这种方法同样适用于偶数N分频,但占空比不总是1:1,只有2的n 次方的偶数(如4、8、16等)分频占空比才是1:1。这种方法对于奇数、偶数具有通用性。半整数分频器也是在这种方法基础上实

现的。除了一个模N计数器,还需要一个异或模块和一个2分频模块。半整数分频器原理如图1所示:半整数分频器设计思想:通过异或门和2分频模块组成一个改变输入频率的脉冲添加电路,也就是说个输入信号周期内产生了N个计数脉冲,即输入信号其中的一个含一个脉冲的周期变为含两个脉冲的周期。而这一改变正是输入频率与2分频输出异或的结果。由2分频输出决定一个周期产生两个脉冲有两种方式:当一个输入信号来一个脉冲(前半周期)时,2分频输出变为‘1’,clk_in取反,后半周期就会产生一个脉冲;2分频输出由‘1’变为‘0’时,clk_in刚把一个周期(前半周期)内低电平变为高电平产生一个脉冲,而后半周期的脉冲与‘0’异或不变。从而实现分频。要实现奇数、偶数、半整数通用分频器只需再加一个控制选择信号sel。当sel=‘1’时,clk_in与2分频输出异或,实现半整数分频;当sel=‘0’时,只选通clk_in,实现整数分频。通用分频器原理如图2所示:Verilog语言的实现本设计采用层次化的设计方法,首先设计通用分频器中各组成电路元件,然后通过元件例化的方法,调用各元件,实现通用分频器。1、选择异或门模块half_select:modulehalf_select(sel,a,b,c); outputc;inputsel,a,b;xoru1(w,a,b); assignc=sel?w:a; (当sel=‘1’时,clk_in与2分频输出异或,实现半整数分频;当sel=‘0’时,只选通clk_in,实现整数分频。)endmodule 2、模N计数器counter_n:实现参数化设计N可取2~256,也可增加count位数使N可取更大的值。以N=7为例

通过设置sel分别实现奇数7分频和半整数分频。modulecounter_n(reset,en,clk_in,clk_out,count);

parameterN=7;inputreset,en,clk_in;outputclk_out; output[7:0]count;regclk_out;reg[7:0]count; always@(posedgeclk_in)begin if(reset)begin count[7:0]=0;end elseif(en)begin if(count==(N-1))count=0;else count=count1; end end always begin if(N clk_out=count[0]; elseif(N clk_out=count;elseif(N clk_out=count; elseif(N clk_out=count; elseif(N clk_out=count; elseif(N clk_out=count;elseif(N clk_out=count; elseif(N clk_out=count;end endmodule 3、2分频模块fenpin_2:modulefenpin_2(clk_in,clk_out); inputclk_in;outputclk_out;regclk_out; always@(posedgeclk_in) begin clk_out=~clk_out; end endmodule 4、最后通过元件例化的方法,调用各元件,实现通用分频器最高层设计:modulefenpin(reset,en,sel,clk_in,clk_out,count);

inputreset,en,sel,clk_in;outputclk_out; output[3:0]count;half_selectu1(sel,clk_in,b,c);(调用half_select,元件例化)counter_nu2(reset,en,c,clk_out,count);(调用counter_n,元件

例化)fenpin_2u3(clk_out,b);(调用fenpin_2,元件例化)endmodule 仿真结果及硬件电路测试当sel=‘0’,N=7时,实现奇数7分频。如图3所示当sel=‘1’,N=7时,实现半整数分频。如图4所示由此可见,只要改变异或门选通控制sel和N的值就可实现2~256之间的任意奇数、偶数、半整数分频。本设计在Altera公司的FLEX系列EPF10K10LC84-3型FPGA构成的测试平台上测试通过,性能良好。结语本文旨在介绍一种进行FPGA开发时,所需多种分频的实现方法,如果设计中所需分频形式较多,可以直接利用本设计,通过对程序的稍微改动以满足自己设计的要求。如果设计中需要分频形式较少,可以利用本设计部分程序,以节省资源。用Verilog实现基于FPGA的通用分频器唐晓燕梁光胜王玮

基于FPGA的多种形式分频的设计与实现

作者:dianzi9 来源:电子技术交流网字体:大中小在百度搜索相关内容

编辑导读:基于FPGA的32位浮点FFT处理器的设计|将软件编译并形成有效硬件的方法|DS P HPI口与PC104总线接口的FPGA设计|5个不朽的法则[转帖]|基于FPGA的多种形式分频的设计与实现|基于FPGA和DSP的音频采集卡的实现|PLD/FPGA 结构与原理初步|智能家庭:用可编程逻辑器件桥接各种接口标准|红外动目标识别跟踪系统的DSP+FPGA实现|DVB-C解交织器的FPGA实现|

正文:

摘要:本文通过在QuartursⅡ开发平台下,一种能够实现等占空比、非等占空比整数分频及半整数分频的通用分频器的FPGA设计与实现,介绍了利用VHDL硬件描述语言输入方式,设计数字电路的过程。

关键词:FPGA;VHDL硬件描述语言;数字电路设计;分频器

引言

分频器是数字系统设计中的基本电路,根据不同设计的需要,我们会遇到偶数分频、奇数分频、半整数分频等,有时要求等占空比,有时要求非等占空比。在同一个设计中有时要求多种形式的分频。通常由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频,实现较为简单。但对半整数分频及等占空比的奇数分频实现较为困难。本文利用VHD L硬件描述语言,通过QuartusⅡ开发平台,使用Altera公司的FPGA,设计了一种能够满足上述各种要求的较为通用的分频器。

一、电路设计

采用FPGA实现半整数分频器,可以采用以下方法:设计一个模N的计数器,再设计一个脉冲扣除电路,每来两个脉冲扣除一个脉冲,即可实现分频系数为的分频器。脉冲扣除电路由异或门和一个2分频器构成。本设计在半整数分频器原理的基础上,对异或门加一个使能控制信号,通过对异或门和计数器计数状态值的控制,实现同一个电路完成多种形式分频,如图1所示。

二、VHDL语言的实现

现通过设计一个可以实现分频,等占空比的17分频,2、4、8、16、32分频,及占空比为1∶8和4∶5的9分频等多种形式分频的分频器,介绍该通用分频器的FPGA实现。

由图1所示的电路原理图可知,分频器由带使能端的异或门、模N计数器和一个2分频器组

成,本设计用D触发器来完成2分频的功能,实现方法是:将触发器的Q反输出端反馈回输入端D,将计数器的一个计数输出端作为D触发器的时钟输入端。各功能模块的VHDL语言实现如下。

1.模N计数器的实现

一般设计中用到计数器时,我们可以调用lpm库中的计数器模块,也可以采用VHDL语言自己设计一个模N计数器。本设计采用VHDL语言设计一个最大模值为16的计数器。输入端口为:使能信号en,复位信号clr和时钟信号clk;输出端口为:qa、qb、qc、qd。其VHDL 语言描述略。

2.带使能控制的异或门的实现

输入端为:xor_en:异或使能,a和b:异或输入;输出端为:c:异或输出。当xor_en为高电平时,c输出a和b的异或值。当xor_en为低电平时,c输出信号b。其VHDL语言略。

3.2分频(触发器)的实现

输入端为:时钟信号clk,输入信号d;输出端为:q:输出信号a,q1:输出信号a反。其VHDL语言略。

4.分频器的实现

本设计采用层次化的设计方法,首先设计实现分频器电路中各组成电路元件,然后通过元件例化的方法,调用各元件,实现整个分频器。其VHDL语言略。

三、仿真结果及硬件电路的测试

本设计的目的是通用性和简易性,只要对上述程序稍加改动即可实现多种形式的分频。

1.实现分频和等占空比的17分频

只要将上述程序中,调用计数器模块时端口qa、qb、qc匹配为open状态,同时置xor_en 为高电平即可。从编译报告看出总共占用8个逻辑单元(logic elements),其仿真波形如图2~4所示。

图二

图三

图四

由图中qxiao和clk的波形可以看出,每隔个时钟周期,qxiao信号产生一个上升沿,从而实现分频系数是的分频,同时在qzheng端得到等占空比的17分频。设clk为170MHz,则q xiao输出为20MHz,qzheng输出为10MHz。

2.实现占空比为1∶8和4∶5的9分频

只要上述程序的xor_en置低电平即可在qxiao输出占空比为1∶8的9分频信号;在qzhen g2输出占空比为4∶5的9分频信号。同样仅占8个逻辑单元(logic elements)。仿真波形如下。

3.实现等占空比的2、4、8、16和32分频

只要将上述程序中的xor_en置为低电平,同时将计数器模块的计数最大值设为16即可。仿真波形如下。

由此可见,只要稍微改变计数器的计数状态值,对异或门进行选通控制,即可实现上述多种形式的分频。本设计在Altera公司的EP1K50QC208-3构成的测试平台上测试通过,性能良好。

结束语

我们在设计模拟雷达脉冲信号和用FPGA开发扩频芯片时就用到了上述多种形式得分频。本文旨在介绍一种进行FPGA开发时,所需多种分频的实现方法,如果设计中所需分频形式较多,可以直接利用本设计,通过对程序的稍微改动以满足自己设计的要求。如果设计中需要分频形式较少,可以利用本设计部分程序,以节省资源。

分频器设计实验报告

竭诚为您提供优质文档/双击可除分频器设计实验报告 篇一:n分频器分析与设计 一、实验目的 掌握74190/74191计数器的功能,设计可编程计数器和n分频器,设计(n-1/2)计数器、分频器。 二、实验原理 分频是对输入信号频率分频。1、cD4017逻辑功能 2、74190/74191逻辑功能 3、集成计数器级联 当所需计数器模数超过所选计数器最大计数状态时,需要采取多片计数器级联。方法分为异步级联和同步级联。4、集成计数器的编程 在集成计数器的时序基础上,外加逻辑门电路等,反馈集成计数器的附加功能端,达到改变计数器时序的目的。可采用复位编程和置数编程两种。5、多片74190/74191计数器级联 可根据具体计数需求和增减需求,选用74190或74191,

选择不同功能、同步或异步设计等。 6、74190/74191计数器编程 由于没有复位端,因此只能使用置数编程,置数端置为0即可异步置数。可根据需求设计n进制加法或减法计数器。 n与译码逻辑功能如下。 7、74191组成(n-1/2)分频器电路如下图: u3 计数器的两个循环中,一个循环在cp的上升沿翻转;另一个是在cp的下降沿翻转,使计数器的进制减少1/2,达到(n-1/2)分频。 三、实验仪器 1、直流稳压电源1台 2、信号发生器1台 3、数字万用表1台 4、实验箱1台 5、示波器1台 四、仿真过程 1、按照cD4017和74191功能表验证其功能。 2、74191组成可编程计数器 (1)构成8421bcD十进制加法计数器,通过实验验证正确性,列出时序表。设计图如下 仿真波形如下 (2)构成8421bcD十进制减法计数器,通过实验验证正确性,列出时序表。设计图如下: 仿真波形如下

电子分频器要注意的几点问题及故障排除

电子分频器要注意的几点问题及故障排除网络摘编 电子分频器: 电子分频器的主要功能当然就是给不同的音箱分配好不同的工作频率了,当然还有保护音箱的功能,下面说下调整电子分频器时需要注意的几点问题及故障排除: 1、分频点: 在一个2分频的音响系统中,一般情况下分频点放在130Hz附近比较合适,但很多情况下,对分频点的调整实际上不是取决于低音音箱,而是要看中高音或全频音箱。因为低音音箱在300Hz以下工作都可以,但有些中高音和全频音箱由于扬声器口径太小,动态范围不够大,必须在200Hz以上工作才能保证它们的安全,如果此时分频点分在130Hz附近,那么这些中高音音箱工作起来就很危险了,因此在效果和安全当中还是要找一个平衡点。我觉得双15寸的全频主音箱最好不要经过电子分频器;单15寸的主音箱可灵活运用;而单12寸以下的主音箱最好要通过电子分频器,至少在180Hz以上工作才安全。 2、音量控制: 不管是输入电平还是输出电平,调整的时候都要有一个度,不要开的太大。如果是电子分频器上的各个音量旋钮都开到很大了,系统的声压还不够,那就要调整电子分频器前面设备的信号电平或者调整电子分频器下面功放的电平和音量开关了。 3、×10按钮: 有一些电子分频器上有一个: ×10的按钮,大家注意不要轻易按下它。 例如我们的分频点调整在200Hz的话,按下此按钮200×10就变成2000Hz 了,因此除非是需要,否则一般不要按下此按钮。

4、低音模式: 有些电子分频器后面板有一个低音模式的选择,它可以把2路立体声信号混合成1路单声道信号,这样可以减少低音音箱之间的声干涉。大家可以适当利用下。 当然要是低音分频点分的较高,那么低音音箱发出的声音就会有一定的指向性了,此时还是要在2路立体声信号的状态下工作较好。 5、立体声工作模式和单声道工作模式: 目前我们使用的大多数电子分频器都是2分频的居多,考虑到灵活性和多功能性,这些电子分频器的后面板一般会有一个立体声和单声道的工作模式转换开关,如果把此开关放在单声道工作模式下,那么此时这台电子分频器就从一台双通道2分频的电子分频器变成了一台单通道3分频的电子分频器了。因此除非必要,否则不要轻易转换此工作开关,要不然电子分频器后面信号输出口所输出的频率信号就会大不一样了!轻者恶化了音质,重者还会损坏设备! 6、系统中低音信号的输出和中高音信号的输出一定不要搞混了,否则高音信号给了低音音箱,低音信号给了高音音箱,那样南辕北辙的做法音响系统中就真的没有声音出来了,因为频率不对呀!搞不好还会烧坏音箱呢! 电子分频器故障例子: 1、05年朋友在长沙做了一个大型的酒吧,音响系统中共使用了单12寸全频主音箱16只,双18寸重低音音箱22只,还有其它20多只辅助音箱。但开业几天后发现主音箱的单12寸的喇叭坏了2只,开始那里的技术人员以为是正常损坏,更换了2只新的喇叭了事,但后来一个星期内陆陆续续的又坏了6只12寸的全频喇叭,这样就很不正常了,而且除了12寸主音箱发生故障外别的音箱都没有问题。后来我去帮忙检查了下系统,发现那里的电子分频器分的频率太低,我把分频器的分频点从130Hz调高到了230Hz,这样问题就解决了,而且低音效果也比以前好了很多。其实道理很简单: 这个系统中由于要兼顾人声演出,所以采用了对人声表现较好的12寸全频主音箱,开始时电子分频器的分频点在130Hz,这是什么概念呢?就是说系统中

分频器的设计2014-1-10 10.29.8

武汉理工大学《微机原理与接口技术》课程设计报告书

号:
0121105830129
课 程 设 计
题 学 专 班 姓
目 院 业 级 名
分频信号发生器的分析与设计 自动化学院 电气工程及自动化 电气 1107 班 成涛 陈静 教授
指导教师
2014 年
01 月
09 日

武汉理工大学《微机原理与接口技术》课程设计报告书
课程设计任务书
学生姓名: 指导教师: 题 目: 成涛 专业班级: 电气 1107 班 陈静 教授 工作单位: 自动化学院 分频信号发生器的分析与设计
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1. 设:有一输入方波信号 f0(<1MHz) 。要求输出信号:f1=f0/N,N 通过键盘 输入。 2. 画出简要的硬件原理图,编写程序。 3. 撰写课程设计说明书。 内容包括:摘要、 目录、 正文、 参考文献、 附录 (程 序清单) 。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软 件思想,流程,源程序设计及说明等) 、程序调试说明和结果分析、课程设计收 获及心得体会。
时间安排: 12 月 26 日----- 12 月 28 日 查阅资料及方案设计 12 月 29 日----- 01 月 0 2 日 编程 01 月 03 日-----0 1 月 07 日 调试程序 01 月 08 日----- 01 月 09 日 撰写课程设计报告
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日

专业电子分频器的使用技巧

专业电子分频器的使用技巧 在一套音响系统中提到分频器一般来说是指能将:20Hz--20000Hz频段的音频信号分成合适的、不同的几个频率段,然后分别送给相应功放,用来推动相应音箱的一种音响周边设备。由于它是一种用来处理、分配音频频率信号的电子设备,所以我们通常也叫它:电子分频器。电子分频器的详细功能和工作原理我就不多说了,这里我只是侧重于对一些大家比较重视或经常感到困惑的方面做一些通俗易懂的介绍,希望能对大家有所帮助! 一、我们为什么要使用电子分频器 我们音响师研究电声和现在电声设备与技术的不断发展都是为了一个目的:就是要尽量忠实的再现各种音源,当然要把自然界里千奇百怪、各种各样的声音完全利用现在的电声技术再现是不太现实几乎做不到的。大家知道,声音的频率范围是在20Hz—20000Hz之间,现在大多数前级音频处理设备的频率范围是可以达到这样宽度的,但目前的扬声器却成了一个瓶颈部分,我们奢想使用一种或简单几只扬声器就能放送出接近20Hz--20000Hz这样宽频率的声音是很难做到的,因为现在单只喇叭的有效工作频率范围都不是很宽。鉴于此电声工程师们就设计出了在不同频率段内工作的音箱,如: 1、重低音音箱:让它在大约30-200Hz的频率范围内工作。 2、低中音音箱:让它在大约200-2000Hz的频率范围内工作。 3、高音音箱:让它在大约2000-20000Hz的频率范围内工作。 如此以来我们就可以利用在不同频率段工作的不同种类的音箱配置一套能最大限度接近声音真实频率(20Hz--20000Hz)的音响系统了。当然不同音箱设备的构成和参数是不同的,我上面说的是以一个三分频的系统为例,实际使用上还有其它诸如:2分频或4分频等系统,而且不同音响系统中由于采用的音箱会有区别,因此这些音箱的工作频率也不可能是固定相同的,但大体的原理和思路是一样的。 那么有一个问题就是: 我们如何给这些在不同频率段工作的、不同种类的音箱灵活分配音频频率呢?为了解决这个问题,电子分频器就应运而生了,它可以根据不同音箱工作频率的需要提供合适的频率段,例如: 1、我们可以用电子分频器将高频信号通过功放送到高音扬声器中. 2、可以用电子分频器将中频信号通过功放送到中音扬声器中。 3、可以用电子分频器将低频信号通过功放送到低音扬声器中。

分频器设计_可控型

现代科技的发展对信号源提出了越来越高的要求,要求信号源的频带宽、频率分辨率高、频率稳定度高、相位噪声和杂散很低、能程控等.频率合成技术是产生大量高精度频率信号的主要技术,频率合成器是一种相位锁定装置,是通讯、雷达、仪器仪表、高速计算机和导航设备中的一个重要组成部分。频率合成器是可由一个工作范围在G地范围的锁相环构成.在高频范围内工作的锁相环是整个系统中功耗最大的部分之一,因此对锁相环的低功耗研究对降低整个系统的功率损耗有着重要的意义.分数分频频率合成器则是近年来出现的一种新技术,它与传统的整数分频频率合成器相比具有频率分辨率高、相位噪声低等优点。前置分频器位于高频锁相环的反馈部分.由于工作频率很高,前置分频器也是锁相环中功耗最大的部分之一。低功耗的前置分频器设计可以很大程度上降低整个锁相环的功率损耗. 目录 摘要 ................................................................................................................ 错误!未定义书签。Abstract ........................................................................................................... 错误!未定义书签。目录 .. (1) 引言 (1) 一、分频器设计 (2) 1.1、分频器的系统介绍 (2) 1.2、前置放大器的设计 (3) 二、前置分频器单元结构 (3) 2.1、TSPC结构 (3) 2.2、传统结构 (4) 2.3、转换器 (5) 三、小数分频器中预分频器的设计 (5) 3.1、小数分频器相位杂散的分析 (5) 3.2、可编程预分频器结构 (6) 结论 (6) 参考文献 (8) 引言 所谓频率合成,又称频率综合,简称频综,是由一个(或几个)具有低相位噪

实验一 QUARTUS II入门和分频器设计

实验报告 课程名称EDA技术与VHDL设计 实验项目Quartus II入门 实验仪器计算机、Quartus II 系别信息与通信工程学院 专业电子信息工程 班级/学号电信1201 / 2012010970 学生姓名张宗男 实验日期 成绩 指导教师

实验一 QUARTUS II入门和分频器设计 一、实验目的 1.掌握QUARTUS II工具的基本使用方法; 2.掌握FPGA基本开发流程和DE2开发板的使用方法; 3.学习分频器设计方法。 二、实验内容 1.运用QUARTUS II 开发工具编写简单LED和数码管控制电路并下载到DE2 实验开发板。2.在QUARTUS II 软件中用VHDL语言实现十分频的元器件编译,并用电路进行验证,画出仿真波形。 三、实验环境 1.软件工具:QUARTUS II 软件;开发语言:VHDL; 2.硬件平台:DE2实验开发板。 四、实验过程 1.设计思路 (1)、 18个开关控制18个LED灯,通过低位四个开关的‘1’‘0’控制LED灯上7段灯的显示(2)、 实现10分频IF(count="1001") THEN count<="0000"; clk_temp<=NOT clk_temp; 达到9的时候,把“0000”给到cout,然后clk_temp 信号翻转,从而实现10分频。 2.VHDL源程序 (1)、 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY e_zhangzongnan IS PORT(SW :IN STD_LOGIC_VECTOR(0 TO 17); HEX0 :OUT STD_LOGIC_VECTOR(0 TO 6);

一文解析主动分频器和被动分频器的优缺点

一文解析主动分频器和被动分频器的优缺点 汽车音响简单的来说区分主动和被动的区别在于分频处理在功率放大之前或之后,分频在功率放大之前的称为主动,而在功放的输出端进行分频处理的称为被动分频。主动和被动都有各自的优点,声音也都有自己的优点和缺点,需要根据自己需要做选择,而不是听别人说主动好就去玩主动。下面是这两种分频的优缺点。 本文首先介绍了分频器的分类及电子分频器的工作原理,其次介绍了主动分频器的优缺点,最后介绍了被动分频器的优缺点,具体的跟随小编一起来了解一下。 分频器的分类分频器有两大类:一类是被动分频器(PassiVe Crossover),亦称功率分频器;另一类是主动分频器(Active Crossover),亦称电子分频器。 主动分频器的工作原理(电子分频器)电子分频器是利用各类有源滤波器(高通、低通、带通)将全频域的音频信号分成不同的频段,有源滤波器是由无源RC滤波网络和由运算放大器接成的跟随器组成,衰减特性随着RC阶数的增加而增强(每增加一阶,衰减斜率增加-6db/out)。 电子分频方式使功率放大器与扬声器之间只有功率传输线。而没有影响音质的其他环节,从而降低了失真,提高了功率放大器对扬声器的阻尼系数,由于电子分频器的负载是功率放大器的输入,阻抗高而且稳定,所以能很容易的调整分频点和控制分频精度,而且由于电子分频器的每一频段的带宽较窄,使非线性畸变引起的高次谐波受到抑制,降低总谐波失真和互调失真,电子分频器克服了功率分频器中存在的缺点,但增加了成本和系统调试的难度,因此多被用于专业场合。 1、二分频电子分频器的工作原理图 7-32所示为采用滤波运算综合法的二分频电子分频器框图,其基本原理是用一组高通或低通滤波器滤除相应频段的信号,然后将此信号反相后,与全屏输入信号相加,得到另一频段的信号。

电子分频是什么

电子分频是什么 说到电子分频,首先要说分频器是什么。顾名思义,分频器是一种将不同频率的信号进行分割的电路装置。其本质就是信号中的各种滤波器。通常我们指的音频的频率范围在20Hz-20KHz,高音指的是频率较高的声音,低音指的的是频率较低的声音。而在HiFi音响中,分频器的作用就是将高、中、低音,按照需要频率进行分割。因此分频器也常被称为“分音器”。 为什么需要分频器呢?因为不同的喇叭单元各自的特性不同,它们都有最佳表现的频率范围。因此在要求较高的HiFi音响系统中,利用分频器将频率进行分割,再分别交给高、中、低音喇叭,使在它们仅在最佳表现的频率范围内工作,以达到音质更佳的目的。 那么分频器有哪些种类呢?根据分频器在音响系统中所处的位置不同,我们通常又将它们分为功率分频器和电子分频器。 功率分频器: 电子分频器:

功率分频器是家庭HiFi音响中最常见的分频器,它处于功放之后、喇叭之前。正是因为它需要承受功放输出的巨大功率,所以称为功率分频器。功率分频器都是无源滤波器。 电子分频器则用来构成另一种音响系统。它处于音源之后,功放之前。经过它的音频信号较弱,所以通常用有源滤波器来实现。因此电子分频器也常被成为:有源分频器、主动分频器等。

功率分频器由于受元器件所限,所以在阻抗匹配、相位特性、插入损耗等方面和电子分频相比都不具优势。更重要的是,电子分频系统中,以多台功放分工合作的方式代替了功率分频系统中一台功放全力工作的方式,使得对功放的要求明显下降,但表现却能大大提升。 其实在专业音响上,电子分频系统早就被成熟运用。不过略有不同的是,专业音响中更多使用的电子分频器是DSP(数字信号处理器),它的最大特别是集成度高,功能强大,可以对曲线等进行各种调整。而在家用HiFi音响中,特别是对普通用户来说,笔者更推荐使用模拟的电子分频器。模拟的电子分频器没有很多功能和可调整的部分,但也因此能拥有更自然更优质的声音。 当然,不可否认,无论哪种音响系统如果设计合理,都可能发出好声音。 一家之言,仅供参考。

基于VHDL的分频器设计[开题报告]

开题报告 电子信息工程 基于VHDL的分频器设计

三、课题研究的方法及措施 由于本课题所设计的分频器基于EDA技术,应用VHDL硬件语言设计完成的,因此选择合适的硬件解决原理对分频器性能至关重要的,为了满足不同系统功能需求的分频,本课题将阐述不同原理,不同分频器,同种分频不同原理的设计方案。 占空比可控的整数分设计方案,原理为计数器为带预置数的计数器,其设计的特殊之处在于:可以根据需要,调整数据的位宽,而且计数的初始值是从l开始的,此处计数初始值的设定是设计的一个创新,这样做的目的是为了配合后面比较器的工作,计数器的输出数据作为比较器的输入,比较器的另一输入作为控制端,控制高低电平的比例,从而达到占空比可调的目的。原理图如图1所示。 图1 占空比可控的原理图部分 小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。如设计一个分频系数为lO.1的分频器时。可以将分频器设计成9次10分频,1次11分频这样总的分频值为如式1所示。 F=(9×10+lxl 1)/(9+1)=10.1 (式1) 从这种实现方法的特点可以看出,由于分频器的分频值不断改变.因此分频后得到的信号抖动较大。当分频系数为N-0.5(N为整数)时,可控制扣除脉冲的时间,以使输出成为一个稳定的脉冲频率。而不是一次N分频.一次N-1分频。图2给出了通用小数分频器的电路组成。 图2通用小数分频器的电路组成 改进的小数分频设计方案,将两个整数分频器由一个整数分频器和一个半整数分频器代替,结果在如上分析的两个性能方面都有所提高。利用参数化的设计思想和VHDL描述语言与原理图输入方法相结合的方式,设计并实现了一种抖

分频器

第36卷第2期信息化研究Vo l.36 No. 2 2010年2月I nfo r m a t iza t ion R e s ea r ch Feb. 2010 基于FPGA 的任意数值分频器的设计 周殿凤,康素成,王俊华 (盐城师范学院物理科学与电子技术学院,江苏省盐城市224002 ) 摘要:介绍了基于FPG A 的任意分频系数的分频器的设计,该分频器能实现分频系数和占空比均可以调节的3 类分频:整数分频、小数分频和分数分频。所有分频均通过VHDL 语言进行了编译并且给出了仿真图。本设计中的分频器没有竞争冒险,可移植性强,占用的FPG A资源少。本设计在A l2 te ra 公司的Cyc l o neⅡ系列EP2C35 型FPG A芯片中完全可实现,结果表明设计是正确和可行的。由于分频器应用非常广泛,故本设计具有很强的实用价值。 关键词: FPG A; VHDL;分频器 中图分类号: TP277 0 引言 FPG A 作为未来数字系统的 3 大基石( FPG A、D SP、CPU ) 之一, 成为目前硬件设计研究的重点[ 1 ] 。 在数字系统的设计中,设计人员会遇到各种形式的分频需求,如偶数分频、奇数分频、半整数分频、小数分频率的1 / 10。从图1可看出当j = 5 = m/ 2时输出时钟占空比为50 %。 图110分频器波形图 和分数分频等。在某些设计中,系统不仅对频率有要求,而且对占空比也有严格的要求。由计数器或计数器的级联构成各种形式的偶数分频及非等占空比的奇数分频实现起来较为简单, 但对半整数分频及等占空比的奇数分频实现较为困难,小数分频和分数分频更 占空比为50 %的奇数分频实现起来较为困难,实现的方法较多,文中介绍了一种占用资源较少的方法。假设要实现占空比为50 %的m = 2 n+ 1 分频, 选择两个2 n+ 1进制计数器控制两个中间时钟c lk1 和c l k2 , 1 号计数器在输入时钟c l k的上升沿计数, 2 号在c l k 的 困难[ 2 ] 。为了解决这一问题,本文首先分析了各种分下降沿计数。当计数器1 输出为0 ~n - 1 时c l k1 为 频器的设计方法,然后将其综合起来成为一个通用分频器。通过分析分频器的原理,探索出了一套简单明了的设计方法,设计了一个任意数值通用分频器,该分频器没有毛刺且占用的逻辑资源较少。 1 整数分频器 偶数分频器的设计较为简单,可以利用计数器实1 ,输出为n ~2 n 时c l k1为0 且计数器1 输出为 2 n时计数器1清0 , 如此循环下去; 当计数器2输出为0 ~n - 1 时c l k2为1 ,输出为n ~2 n 时c l k2为0且计数器2输出为 2 n时计数器 2 清0 ,如此循环下去。可见2种计数器实现方法一样,只是翻转的边沿不一样,最终输出的时钟c l kou t = c l k1 + c l k2。占空比为50 %的奇数分频仿真图见图2 ,图中m = 9 ,因此是9 分频电路, 现[ 3 ] 。假设要实现m = 2 n分频, 当计数器的值为0 ~通过预置值m 可以非常方便的对分频系数进行设置 j - 1时,输出时钟为 1 ,计数器的值为j~2 n- 1 时,输出时钟为0 ,在计数器值为2 n - 1 时复位计数器,如此循环下去,即可实现占空比为j/2 n的分频, m 和j都是预置数, j用于调节占空比, m 调节分频系数。m 的值不同,分频系数也就不同, 如果预置数m 是奇数则可实现占空比不等于50 %的奇数分频。图1 中m 取值10,故实现的是10 分频, 输出时钟频率是输入时钟频收稿日期: 2009212 220; 修回日期: 2010201 209。 基金项目:江苏省高校自然科学基金资助项目( 08KJD140005) ;盐城师范学院校级基金资助项目( 09YCK L 016) 。而不需要重新编程。 图29分频器波形图 2小数分频器 小数分频器是通过可变分频和多次平均的方法得到的[ 4 25 ] 。假设要进行m . n分频( m 、n都是整数, 且n < 10 ),因为只有一位小数,所以总共要进行1 0次分 ·59·

什么是主动和被动汽车音响电子分频器

什么是主动和被动汽车音响电子分频器 所谓电子分频,就是将分频电路提至放大电路之前的电路拓扑设计,就这么简单。 电子分频不是什么新技术,应用于舞台专业音响器材已很普遍。由于电子分频时信号功率很小,很容易把频率精确分开,完全可以根据喇叭单元的特性进行分频,最大限度发挥喇叭单元的特性,得到最平直、最满意的听音曲线 什么是主动和被动汽车音响电子分频器?为了真实地重放各种节目信号,要求喇叭有尽可能宽和平坦的频率响应,即使从HI-FI用是最低低放频响要求来说,喇叭的重放频率范围也必须达到50~12500HZ。事实上,由于受扬场器结构以及制作工艺的客观限制,目前任何一种扬声器都无法在如此宽的频率范围内很好的工作。每一种扬声器都有它的有效频率范围,只能在一个较窄的范围内很好地工作,倘若扬声器的实际工作频率超出它的有效频率范围,扬声器的失真和指向性指标将明显变坏。为此,人们制造出了适用于不同频率范围的扬声器,如低频扬声器、中频扬声器、高频扬声器等等。 这样,人耳能听到的20HZ~20KHZ这个频率范围的声音就是分别通过低频扬声器、中频扬声器、高频扬声器来分别发出。但是并不能直接把这两种扬声器并联在一起,必需要有一种器件来做一个“频率分配工作”,分频器应运而生,分频器的作用就是把20~20KHZ 的全频带信号分割成不同的频段,再分配到相应的喇叭发声。 当我们在安装汽车音响套装喇叭时,经常会接触到一个器件——电子分频器。它时而被我们隐藏在仪表台下方,时而又被我们藏在车门内饰板内。可能有些朋友便会好奇,这个电子分频器到底是干什么用的?没有电子分频器可以吗?对于大家的疑问,结合各方资料,就简单给大家介绍一下电子分频器! 什么是电子分频器: 从字面上理解,电子分频器的意思就是“区分频率的器材”,其实科学原理也是如此,将音频的弱信号进行分频,然后传输给功放,让其放大音频信号,最后传输给各个扬声器。电子分频器的作用:

调音经验4、专业电子分频器的使用技巧

4专业电子分频器的使用技巧 在一套音响系统中提到分频器一般来说是指能将:20Hz--20000Hz频段的音频信号分成合适的、不同的几个频率段,然后分别送给相应功放,用来推动相应音箱的一种音响周边设备。由于它是一种用来处理、分配音频频率信号的电子设备,所以我们通常也叫它:电子分频器。电子分频器的详细功能和工作原理我就不多说了,这里我只是侧重于对一些大家比较重视或经常感到困惑的方面做一些通俗易懂的介绍,希望能对大家有所帮助! 一、我们为什么要使用电子分频器 我们音响师研究电声和现在电声设备与技术的不断发展都是为了一个目的:就是要尽量忠实的再现各种音源,当然要把自然界里千奇百怪、各种各样的声音完全利用现在的电声技术再现是不太现实几乎做不到的。大家知道,声音的频率范围是在20Hz—20000Hz之间,现在大多数前级音频处理设备的频率范围是可以达到这样宽度的,但目前的扬声器却成了一个瓶颈部分,我们奢想使用一种或简单几只扬声器就能放送出接近20Hz--20000Hz这样宽频率的声音是很难做到的,因为现在单只喇叭的有效工作频率范围都不是很宽。鉴于此电声工程师们就设计出了在不同频率段内工作的音箱,如: 1、重低音音箱:让它在大约30-200Hz的频率范围内工作。 2、低中音音箱:让它在大约200-2000Hz的频率范围内工作。 3、高音音箱:让它在大约2000-20000Hz的频率范围内工作。 如此以来我们就可以利用在不同频率段工作的不同种类的音箱配置一套能最大限度接近声音真实频率(20Hz--20000Hz)的音响系统了。当然不同音箱设备的构成和参数是不同的,我上面说的是以一个三分频的系统为例,实际使用上还有其它诸如:2分频或4分频等系统,而且不同音响系统中由于采用的音箱会有区别,因此这些音箱的工作频率也不可能是固定相同的,但大体的原理和思路是一样的。 那么有一个问题就是: 我们如何给这些在不同频率段工作的、不同种类的音箱灵活分配音频频率呢?为了解决这个问题,电子分频器就应运而生了,它可以根据不同音箱工作频率的需要提供合适的频率段,例如: 1、我们可以用电子分频器将高频信号通过功放送到高音扬声器中. 2、可以用电子分频器将中频信号通过功放送到中音扬声器中。 3、可以用电子分频器将低频信号通过功放送到低音扬声器中。 这样高、中、低频信号独立输出、互不干涉,因此可以尽可能发挥不同扬声器的工作频段优势,使音响系统中各频段声音重放显得更加均衡一些,使声音更具层次感,使音色更加完美。

通用的Verilog HDL 奇数偶数分频器

基于Verilog HDL的分频器设计 Verilog HDL的分频器设计作者:nyj 文中的第一个模块为通用的偶分频模块,第二个模块为通用的奇分频模块,2个模块分频占空比都为1:1,使用时只需将相应模块中parameter DIV_N = N; 中的N改为想要的分频数即可。 /******************************************** **File name: Divide_Frequency_module **Author: nyj **Version: **Data: 11/7/17 **Description: Even divide FPGA CLK frequency **********************************************/ module Divide_Frequency_module ( input CLK_In, input RSTn, output CLK_Out ); /*****************************************/ parameter DIV_N = N; /***********************************************/ reg [DIV_N:0] count; reg clk_N; always @ ( posedge CLK_In or negedge RSTn ) begin if(!RSTn) begin count <= 1'b0; clk_N <= 1'b0; end else if( count == DIV_N/2 - 1'b1) begin count <= 1'b0; clk_N <= ~clk_N;

3分频器的设计

三分频器的设计 时钟输入端(clkin)首先反向和不反向分别接到两个D触发器的时钟输入端,两个D触发器的输出接到一个二输入或非门的输入端,或非门的输出反馈到前面两个D触发器的D输入端,并且或非门的输出后面接一二分频器,得到占空比为50%的三分频波形。 图1:图形设计 VHDL程序: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen3 is port (clkin : in std_logic; --时钟输入 qout1 : buffer std_logic; qout2 : buffer std_logic; qout3 : buffer std_logic; clkout : out std_logic --占空比为1/2的三分频输出 ); end fen3; architecture behave of fen3 is begin qout3<=qout1 nor qout2; process(clkin) begin if clkin'event and clkin='1' then --在上升沿触发 qout1<=qout3; end if;

end process; process(clkin) begin if clkin'event and clkin='0' then --在下降沿触发 qout2<=qout3; end if; end process; process(qout3) variable tem:std_logic; begin if qout3'event and qout3='1' then --二分频tem:=not tem; end if; clkout<=tem; end process; end behave; 图3:仿真结果

分频器

L1与C1组成的低通滤波器将200-54的分频点选在1.5kHz,这里将它的分频点恰当进步,主要是单元特性好,更重要是音频的功率八成都会集在中低频,恰当进步低频单元的截止频率,能够充分发扬单元专长,给出的声响将愈加丰满有力度。若是分频点过低,不光丧失了单元优势,反而还会加剧中频单元的担负,导致振幅过载、失真增大等弊端。 尽管中频单元的有用频响宽达800Hz~10kHz,L2、L3与C2、C 3组成的带通滤波器仅取其 1.5~6kHz的一段频带,这也是它的黄金频段。L4、C4构成的高通滤波器将YDQG5-14的分频点定为6kHz,本单元的下限截止频率也获得较高,将愈加轻松自如地在高频段发扬它的专长。因为合理的挑选分频点,3个单元各自都作业在声功率最高的频带,故体系的归纳灵敏度也要比各单元的均匀特性灵敏度高出1~2dB。 分频器元件少,电路也很简单,关于分频电容器最起码的要求是高频特性好,耗费及容量差错小。当前的聚丙烯CBB无极性电容器的耗费角正切值仅为0.08%~0.1%,高频功能优良,体积小、无感、价廉,完全能担任Hi-Fi体系分频电路的需求。本音箱选用耐压为63V的CBB21、CBB22电容器,9.4 uF的用2只4.7 uF的并联即可。高耐压电容在分频器上无大含义,价钱却成倍上升。不要盲目崇拜那些进口货洋电容,这类电容并不一定能显着改进音质,价钱却高得惊人,有时1只10 uF的电容往往超越一只中低频扬声器单元的价格。 分频线圈L的内阻R0巨细直接关系到传输功率与音质,在胆机中分频器与输出变压器二次侧线圈、扬声器音圈及传输馈线呈串联回

(一)、分频器作用和特点 1、基本分频任务:由于现在音箱的种类很多,系统中要采用什么功病能的、几分频的电子分频器还是要灵活配置的,现在通常用的电子频器有2分频、3分频、4分频等区分,超过4分频就显得太复杂和无实际意义了。当然现在的电声技术日新月异,目前还有一些分频器在分频的同时还可以对音频信号进行一些其它方面的处理,但不管什么类型电子分频器的主要功能和任务当然还是分频 2、保护音箱设备:我们知道不同扬声器的工作频率是不一样的,一般来说口径越大的扬声器其低频特性也越好,频率下潜也越低。就好像在相同情况下,18寸扬声器的低音效果一般会比15寸扬声器的低音效果好些;相反中音部分就要采用较小口径的扬声器了,因为通常情况下现在的纸盆振动式扬声器口径越小发出的声音频率也就越高;以此类推高音部分的振动膜片也应该很小才能发出很高频率的声音来。既然扬声器这么复杂,种类又如此繁多,那么如何保障它们能够安全有效的工作就显得很重要了。电子分频器可以提供不同扬声器各自需要的最佳工作频率,让各种扬声器更合理、更安全的工作。设想一下:假如系统中中高音音箱没有经过电子分频器分频,而是直接使用了全频段的音频信号,那么这些中高音音箱在低频信号的冲击下就会很容易损坏,因此,电子分频器除了分频任务外,正常的使用它更重要的功能还有:保护音箱设备。 3、增加声音的层次感:假如一个音响系统中有很多只不同种类的音箱,的确没有使用电子分频器,不同种类的音箱都使用未经分频的全频信号,那不同音箱之间就会有很多频率叠加、重复的部分,声干涉也会变得很严重,声音就会变得模糊不清,声场也会很差而且话筒还会容易产生声反馈。如果使用了电子分频器进行了合理的分频,让不同音箱处在最佳工作状态下,这样不同音箱之间发出的声音频率范围几乎不会重复了,这样就减少了声波互相干涉的现象,声音就会变得格外清晰,音色也会更好、更具有层次感了! (二)、缺点和不足 1、太多分频选择会导致思想混乱:俗话说有利就有弊,和其它专业音响的周边设备一样,电子分频器也不是十全十美的,有些时候系统中需要分频的音箱多了就会显得很复杂,因为不同的音箱就需要有不同的分频点、不同的工作频率段,对于水平一般的音响师来说,在这样的情况下使用电子分频器分频时会让他们觉得无从下手。因此细心仔细的调整是很重要的,同时我们还可以尽量少用4分频,采用2分频或3分频的方法,这样可以简单些,也会让我们的调整思路变得更加清晰些。 2、使用电子分频器后会导致声效下降:虽然使用电子分频器的优点很多,但由于它硬性的规定了不同音箱的工作频率范围,因此也使得这些音箱的效能受到了限制,没有完全发挥出来,浪费了很大一部分资源。例如:一只双15寸的全频音箱不经过电子分频器时可以发出很正常、较大的声音来,但如果经过了电子分频器分频后在200Hz以上频率工作的话,那这只音箱的丰满度和震撼力就会全没有了,因为此时音箱的低音给电子分频器切掉了。同样情况下我们利用电子分频器也切掉了大部分低音音箱的高音部分,虽然这样音色可能会好听了,但不可否认的是低音音箱也浪费掉了大量的能量。这对于音箱数量较多又注重音色的音响系统来说还无所谓,但如果一套音响系统中音箱数量不多又不注重音色只是要大声些,那此时还是不使用电子分频器现实一些。

分频器的设计

首先讲一下单元: 一般情况下,我们对单元按频率会划分为超高音,高音,中高音,中音,重低音,低音,超低音 超高音:負責22kHz以上的頻率 高音:負責5000Hz~22kHz頻率、 中音:負責1500~5000Hz頻率 低音:負責1500Hz以下頻率 超低音(增加)負責200Hz以下頻率 也有网友提出其她的划分标准 以A音(C调的“哆来咪法嗦啦西”的“啦”音,频率为440赫兹)为基准音,以倍频的形式向下三个八度向上五个八度,把全音域分为八个八度,一个个八度就就是音响上常说的一个倍频程(1oct)。具体的划分就是这样的: 55-110赫兹,110-220赫兹,220-440赫兹,440-880赫兹,880-1760赫兹,1760-3520赫兹,3520-7040赫兹,7040-14080赫兹,共八段(八个八度)。这样就很清晰的瞧出频段的划分了。110赫兹以下-超低频; 110-220赫兹-低频; 220-440赫兹-中低频; 440-880赫兹-低中频; 880-1760赫兹-中频; 1760-3520赫兹-中高频; 3520-7040赫兹-高频; 7040赫兹以上-超高频。 还有两种频段划分方法 以“E”音划分 -20 次低频 20-40 极低频 40-80 低频下段 80-160 低频上段 160-320 中频下段 320-640 中频中段

640-1280 中频上段 1280-2560 高频下段 2560-5120 高频中段 5120-10240 高频上段 10240- 极高频 以“C”划分 -63 极低频 63-125 低频下段 125-250 低频上段 250-500 中频下段 500-1K 中频中段 1K-2K 中频上段 2K-4K 高频下段 4K-8K 高频上段 8K- 极高频 分频器的主要元件:电阻,电感,电容 电阻在分频器中的作用:调整灵敏度 电感:其特性就是阻挡较高频率,只让较低的频率通过电容:其特性与电感刚好相反,也就就是阻挡频率通过

分频器设置规则(校对版)

处理器设置规则(什么是分频点?) Processor Setting Fundamentals -or- What Is the Crossover Point? 内森.巴特尔 曾山、骆明刚译自https://www.doczj.com/doc/7111786968.html,/APP/papers.html Technical Papers-DSP Setting Fundamentals 长期以来,人们对分频器有一些错误的认识,不知道分频器是什么?不知道分频器在多功放扩声系统中怎么使用?过去,只有专业设计人员才能更改处理器的设置,而今天,可设置的DSP处理器则允许普通用户调整其参数。可不幸的是,在音响系统中,仅对厂家的推荐设置做微小的改变,就可能对其系统性能产生巨大的影响。这篇文章试图解释一些分频器的细节并指出一些严重影响音质的常见操作错误。 一.什么是分频器? 分频器可定义为:将输入的电信号分离成两路单独的信号,且使每一路信号的带宽均小于原始信号的带宽,这种由一对或多对滤波器构成的装置就称为分频器。也可称为“频率分配网络”。 分频器通常由高通(低切)滤波器(简称为HPF)和低通(高切)滤波器(简称为LPF)组成。滤波器是一种频率选择器件,可以通过被选择的频率而阻碍其他的频率通过。滤波器通常有以下三个参数:截止频率,网络类型,斜率。截止频率是指滤波器的响应在低于它的最大电平时跌落到某点的频率,通常为最大电平的0.707倍或0.5倍,或下降3dB或6dB时的频率。网络类型是指滤波器的频率响应曲线在截止频率附近的形状,近些年来,人们设计了很多种类型的滤波器,常见的滤波器类型有:巴特沃夫,林克威兹,贝塞尔等,图一为各种滤波器的的频率响应曲线,斜率定义为滤波器的频率响应曲线中下降到截止频率时的倾斜程度,单位为dB/倍频程,通常斜率为每倍频程6,12,18和24dB。也可以称为‘滤波器斜率’或‘滤波器阶数’,滤波器阶数每增加一阶,则其斜率增加6dB/倍频程,也就是,一阶滤波器有6dB/倍频程的斜率,二阶滤波器则有12dB/倍频程的斜率。那么,24dB/倍频程的巴特沃夫滤波器就相当于4阶的巴特沃夫滤波器。

小数分频器原理

基于CPLD/FPGA的半整数分频器的设计 在数字逻辑电路设计中,分频器是一种基本电路。通常用来对某个给定频率进行分频,以得到所需的频率。整数分频器的实现非常简单,可采用标准的计数器,也可以采用可编程逻辑器件设计实现。但在某些场合下,时钟源与所需的频率不成整数倍关系,此时可采用小数分频器进行分频。比如:分频系数为2.5、3.5、7.5等半整数分频器。笔者在模拟设计频率计脉冲信号时,就用了半整数分频器这样的电路。由于时钟源信号为50MHz,而电路中需要产生一个20MHz的时钟信号,其分频比为2.5,因此整数分频将不能胜任。为了解决这一问题,笔者利用VIDL硬件描述语言和原理图输入方式,通过MAX+plus II开发软件和ALTERA公司的FLEX系列EPF10K10LC84-4型FPGA方便地完成了半整数分频器电路的设计。 2 小数分频的基本原理 小数分频的基本原理是采用脉冲吞吐计数器和锁相环技术先设计两个不同分频比的整数分频器,然后通过控制单位时间内两种分频比出现的不同次数来获得所需要的小数分频值。如设计一个分频系数为10.1的分频器时,可以将分频器设计成9次10分频,1次11分频,这样总的分频值为: F=(9×10+1×11)/(9+1)=10.1 从这种实现方法的特点可以看出,由于分频器的分频值不断改变,因此分频后得到的信号抖动较大。当分频系数为N-0.5(N为整数)时,可控制扣除脉冲的时间,以使输出成为一个稳定的脉冲频率,而不是一次N分频,一次N-1分频。 3 电路组成 分频系数为N-0.5的分频器电路可由一个异或门、一个模N计数器和一个二分频器组成。在实现时,模N计数器可设计成带预置的计数器,这样可以实现任意分频系数为N-0.5的分频器。图1给出了通用半整数分频器的电路组成。

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

相关主题
文本预览
相关文档 最新文档