当前位置:文档之家› 音乐彩灯控制器设计-课程设计(1)

音乐彩灯控制器设计-课程设计(1)

音乐彩灯控制器设计-课程设计(1)
音乐彩灯控制器设计-课程设计(1)

课程设计说明书题目:音乐彩灯控制器

院(部):理学院

专业班级:应用物理14-1

学号: 2014305070

学生:王伟洲

指导教师:学超

理工大学课程设计(论文)任务书理学院(部)物理系

前言

随着现在社会的发展,人们生活水平的提高,人们对娱乐环境的要求越来越高,娱乐环境中的灯光控制,成了一个重要的部分。为此,特意设计了关于音乐彩灯的控制。本设计要求将输入音乐信号分为高,中,低三个频段,并且分别控制三种颜色的彩灯.每组彩灯的亮度随各自输入音乐信号大小分为八个等级.输入信号最大时,彩灯最亮.当输入信号的幅度小于10mv时,要求彩灯全亮。

第一部分:实验原理部分

(一).设计框图及电路系统概述

设计框图:

电路系统概述:

1.声音信号要分为三个频段,所以第一步要通过滤波器进行滤波,将音频信号按要求分为三个频段。

2.经过放大器把毫伏级的声音信号放大为与比较信号可比的信号。由于直流信号才可比较,所以在进入比较器前先进行整流。

3.同步脉冲通过简易的数模转换产生阶梯波,放大后的信号与其比较产生高低电平,再和同步脉冲相与产生个数不同的脉冲去触发三极管,由触发脉冲的个数决定彩灯的亮度。

4.如果音乐信号小于10mV,用比较器产生高电平使或门的输出总为高电平,产生的高电平与1HZ的脉冲信号进行与,从而使灯亮暗闪烁。

(二).实验电路结构与分块电路原理

由本实验设计要求可将试验电路基本分为七个组成部分,即

1.电压转换部分

2.语音信号的输入部分

3.基本信号的放大部分

4,滤波选频部分(核心)

5.幅度控制部分

6 .输出显示部分

7.10毫伏比较扩展部分

第三部分:各单元电路的设计方案及原理说明

下面分别从以上几个分块电路说明该彩灯控制器的设计原理与过程.

1.电源电路:

由于实验给出电源为220V交流电,而实验所需芯片的工作电压大致在5-12V,故需要首先设计一个电压转换部分,将220V的交流电转换成5V,12V,相当于一个直流稳压源,以供数字和模拟芯片正常工作。其转换电路如下所示:

EDA课程设计:八路彩灯控制器

EDA课程设计 设计题目:基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.通过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为和。三种花型分别是: (1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。 (2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。 四、实验环境 PC机一台;软件QuartusⅡ 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。 二选一模块:选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计 1.分频模块设计 实验程序:library ieee; use fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin process(clk) variable clkk1:std_logic:='0'; begin if clk'event and clk='1' then clkk1:= not clkk1; end if; clkk<=clkk1; end process; end behav; RTL电路图: 波形图:

基于89c51单片机控制的LED音乐彩灯控制器的设计说明

基于89c51单片机控制的LED音乐彩灯控制器的设计 随着人们对房屋的装饰需要彩灯,在许多城市可以看到彩色霓虹灯。LED彩灯由于其丰富的 灯光色彩,便宜的造价以及简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建础物已经成为一种时尚。但目前市场上各种各样的LED彩灯控制器大多数用全硬件电路实现, 电路结构复杂、电路复杂、功率损耗大等缺点。此外从功能效果上看,亮灯模式少而且样式单调,缺乏用户可操作性…… 本方案提出一种基于8951 单片机的彩灯控制方案,实现对LED 彩灯的控制。本方案以8951单片机作为主控核心,在主控模块上设有3个按键和8个LED显示灯,根据需要可以 编写若干种亮灯模式,利用其部定时器TO实现一个基本单位时间为1ms的定时中断,根据 各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号,然后驱动各种颜色的灯亮或灭。与普通LED彩灯相比,具有体积小、价格低、功耗低等优点。 2 系统功能 LED彩灯用软件控制硬件的方式来控制彩灯闪烁,即彩灯控制器和管LED模块。彩灯采 用8951提供+ 5V电压工作,经过电源变换,输出直流工作电压,一方面为管LED模块提供 + 5V工作电源,另一方面为主控模块单片机系统彩灯控制器提供5V工作电源。整个系统工 作由软件程序控制运行,根据需要用户可以在LED彩灯工作时通过主控模块上的按键来设定亮灯时间和灯光闪动频率。 上电后系统经过初始化,查询是否有功能切换键按下:有,则进入设定模式状态;无,则进入默认工作状态。在设定模式状态下,可以根据个人爱好及不同场合的需要来指定调用哪种模式,并且可以改变每种模式的时间T1、频率F1参数,在工作状态下,LED彩灯控制器按照程序设定好的若干亮灯花样模式程序顺序调用往下走,开始工作,依次8个等亮完为 一个亮灯周期,然后再回主循环继续工作,同样如果想进入其它设定模式状态,只需按下功能切换键即可。整个3 种亮灯模式时间可以看作一个大周期T, 对于每一个模式编写一个独立工作子程序,其中设定了从左到右点亮LED,从右到左点亮LED,还有自己定义的LED点 亮方式。 因此在LED彩灯上电工作后,可以方便地通过主控模块上的显示器指定LED彩灯当前 工作模块,工作时间Ti ,频率Fi 等实时参数。若实际应用需要根据不同场合和时间来改变彩灯闪亮效果,可以通过主控模块上的按键来设定LED不同的闪烁频率Fi和亮灯时间Ti , 以便符合实际需要。此外如果对某一种模式感兴趣需要仔细观看该种亮灯模式, 可以让程序 循环。 硬件设计 LED彩灯系统包括,即LED彩灯控制器(8951主控模块),LED彩灯在8951外部接一个震荡时钟频率。用8951的控制器,具有按键、显示等功能,并利用8951的P0 口的8个输 出端上面焊有8个LED彩灯,使用彩灯在软件的控制下工作。 主控模块电路设计 主控模块电路如图1所示。主控模块主要设计器件有89C51, 8个LED显示器,3个开 关按键,1个稳压器(5V电压),1个外部晶振振荡器信号输出驱动,4个电阻。通过软件设 计,使单片机P0 口作为三色LED驱动信号输出口及移位时钟CLOCK!号,8951的P0为LED 的输出口。 软件设计 LED彩灯控制器最大特点在于所有亮灯模式均由软件控制完成。系统中软件可以分为主 程序和中断服务子程序。上电后在按键控制灯的闪烁方式, 通过软件来控制循环, 以一个单位实际1ms的TO定时为中断服务子程序。在这个1ms的TO定时基础上,可以根据需要来确定各种模式工作时间Ti,以及确定在各种亮灯点亮和熄灭各种状态LED灯等。整个系统软 件由程序、各种模式子程序、1ms中断服务子程序、调用循环程序组成。利用TO定时器作

可编程彩灯控制器课程设计报告

前言 电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它不但能巩固我们已所学的电子技术的理论知识,而且能提高我们的电子电路的设计水平,还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识几创新思维。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成等。 编者:周滨 2009年1月

目录 一、课题名称 (1) 二、设计目的 (1) 三、设计要求 (1) 四、设计方案 (1) 五、基本原理框图 (4) 六、模块设计思想 (5) 七、所需元器件 (8) 八、实际操作 (9) 九、具体电路 (10) 十、电路图设计 (10) 十一、安装与调试 (10) 十二、存在的不足与新设想 (11) 十三、心得与体会 (11) 十四、参考资料 (13) 附件一 (13) 附件二 (14) 附件三 (14)

一、课题名称 可编程彩灯控制器 二、设计目的 电子课程设计是电类专业学生重要基础实践课是工科专业的必修课。经过查资料、选方案、设计电路、撰写设计报告、使学生得到一次较全面的工程实践训练。理论联系实际,提高和培养创新能力,为后续课程的学习,毕业设计,毕业后的工作打下基础。 学习电路的基本设计方法;加深对课堂知识的理解和应用。完成指定的设计任务,理论联系实际,实现书本知识到工程实践的过渡;学会设计报告的撰写方法。 三、设计要求 1、设计脉冲产生电路、图形控制电路和存储电路; 2、用发光二极管行队列(1×16)作为显示电路,显示内容的动面感 要强; 3、能用按键切换不同的显示组合,至少有3个按键切换; 4、每种组合至少有3种变化,每种组合内图形能连续循环; 5、要有数码管显示当前是第几种组合(或是第几个按键); 6、图形显示间隔(显示频率)至少有3种可选; 7、控制器可有2种控制方式: (1)规则变化:变化节拍有0.5秒和0.25秒,交替出现,每种节拍可有多种花样各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等; (2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。 四、设计方案 (一)设计思路 通过对硬件编程,将各种图形存储在EEPROM中,通过计数器控制各种图形的地址,再利用显示点阵显示出来。系统所显示的内容可反复循环,直至加电清零,便可以回到初始画面。

LED流水灯《八路彩灯控制电路》

《八路彩灯控制电路》课程设计报告 《八路彩灯控制电路》课程设计报告 专业:电子信息工程 班级:2010级2班 姓名:X X 学号:XXXXXXXX 同组成员:XX、XX 指导教师:XXX 2011年12月28日

八路彩灯控制电路 目录 一、课程设计目的 .................................... - 2 - 二、课程设计描述和要求............................... - 2 - (一) 彩灯控制器设计要求........................... - 2 - (二) 课程设计的总体要求........................... - 2 - 三、课程设计内容 .................................... - 3 - (一)原理分析................................... - 3 - (二) 器件选择..................................... - 5 - (三)电路连线................................... - 6 - 1.时钟信号电路 ................................... - 6 - 2. 花型控制信号电路 .............................. - 6 - 3.花型演示电路 ................................... - 7 - 4.总体电路图..................................... - 9 - 5.电路测试....................................... - 9 - 四、分析与总结 ..................................... - 11 -

音乐彩灯控制电路数电FPGA设计(含代码)

音乐彩灯控制电路 1、申请题目:音乐彩灯控制电路 采用4×4矩阵式键盘作为电子琴按键输入,用FPGA设计一个既可以区分不同音高和音阶进行乐曲的弹奏,又可以自动播放乐曲的音乐电路。同时,在播放音乐的同时,点阵板上的彩灯会随着不同的音乐、不同的音阶、音调而出先不同的花型变化。按键音符可以通过数码管显示出来。 2、项目规划: 音乐演奏电路,既有通过键盘自由弹奏,又可以播放内存乐曲(播放的乐曲由设计者自由编辑,以简谱二进制码方式存放在ROM的数据文件中)。 彩灯显示电路,在音乐播放的同时,根据音乐节拍的不同,16路彩灯进行各种花型的变化,彩灯的亮灭有多种不同的模式,可以根据八个拨码开关来进行设计,进行自由组合搭配。不同的音乐对应不同的彩灯模式,每种模式执行16拍后依次循环改变,当音乐停止时,彩灯的变化也停止。 设置启动、停止控制键,可以结束音乐的播放和彩灯变化。 设置选择音乐播放键,共存储了3首音乐,可以通过选择键进行选择播放具体不同的音乐。 设置了彩灯变化选择按钮,有普通彩灯和动感彩灯两种方式进行选择,可以通过按键进行选择。 设计采用音乐引入控制彩灯变化方式。用4×4矩阵式键盘作为按键输入,16×16的LED 点阵板作为彩灯输出。可以通过按键选择弹奏乐曲和播放乐曲两种模式。点阵板上彩灯的变化随着乐曲的不同而进行不同的变化。在播放过程中可以按键进行系统的停止和启动运行。同时也可以通过扬声器将音乐播放出来。 3、实现方案: 问题1:乐曲的发声原理 组成乐曲的2个基本要素是每个音符的发声频率值和它持续的时间,可以利用程序控制FPGA某个引脚输出一定频率的矩形波,便可以得到某个音符的音调,也可以再接上扬声器之后,就能发出相应频率的声音。同时,若能控制每个音符的持续时间,也就控制了乐曲的节奏,因此只需要控制输出到引脚的信号频率的高低以及每个信号持续的时间长短,即可实现乐曲的产生。 问题2:音符的获得方法和乐曲节奏的控制 所有不同的频率信号都可以从同一个系统基准频率分频而来,可以通过预置不同频率的音符信号,通过系统基准频率值计算得到分频系数,提供给之后的节奏使用。每个音符持续的时间是乐曲能连续播放的另一个要素。设全音符的持续时间为1s,则2分音符的持续时间为0.5s,4分音符的持续时间为0.25s。如果采用1MHz的频率作为系统基准频率,则

音乐彩灯控制器课程设计

1. 引言 音乐彩灯控制器是用音乐信号控制多组颜色的灯泡,利用其亮度变化来反映音乐信号,一种将听信号转化为视信号的装置。该控制器具有渲染气氛的作用,增强人们欣赏能力。 2 设计任务与要求: 2.1任务: 1. 设计一种组合式彩灯控制电路,该电路由不同控制方法的彩灯所组成, 采用不同颜色的发光二极管作课题。 2.第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 3.第二按音律的强弱(信号幅度大小)控制彩灯。强音时,灯的亮度加大, 且被点亮的数目增多。 4.第三路按音调高低(信号频率高低)控制彩灯。低音时,某一部分灯点 亮;高音时,另一部分点亮。 2.2要求: 1、综合运用电子技术课程中所学的理论知识完成课程设计。 2、通过查阅手册和文献资料,提高独立分析和解决实际问题的能力。 3、熟悉常用电子器件的类型和特征,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能。 5、进一步熟悉电子仪器的正确使用。 3 设计思路: 根据课题要求,可将控制器分为三部分来实现: (1)由于音乐的节奏是具有一定时间间隔的节拍脉冲信号。因此,可采用计数、译码驱动电路构成节拍脉冲信号发生器,使相应的彩灯按节奏点亮和熄灭。(2)将声音信号变成电信号,经过放大、整流滤波,来点亮彩灯,以实现声音信号强弱的控制。 (3)采用高、低通有源滤波电路来实现高、低音对彩灯的控制。 4 设计原理:

如图2.1所示,控制器包括声、电转换和放大电路、时钟脉冲发生器、记数电路和控制电路等。它控制四路彩灯伴随乐曲而闪烁发光。 拾音话筒控制MIC将乐曲声响转变为电信号,经VT1~VT4加至四路模拟开关IC3(CD4066)。555和RP1、R1、R2、D1、C1等组成无稳态多谐振荡器 t(通)=0.693(RP1+R1)C1 t(放)=0.693R2C1 T=0.693(RP1+R1+R2)C1 图示参数的振荡周期T在0.5~5秒范围内变化。555的3脚输出加至IC2作为CP脉冲.IC2采用CMOS型十进制计数器/脉冲分配器CD4017,在时钟CP作用下,Q0(3脚),Q1(2脚),Q2(4脚),Q3(7脚),Q4(10脚)相继出现高电平脉冲,而Q4加至复位端R(15脚),使电路成为一个环形计数电路。Q0~Q3的初期依次将CD4066四模拟开关选通,使乐曲信号依次加至VT5,VT6,VT7,VT8,并依次导通,SCR1~SCR4依次触发导通,彩灯插座依次有电,彩灯顺序点亮,随着悠扬的音乐,彩灯闪烁生辉。 图2.1 音乐彩灯控制电路

音乐彩灯控制器(完整版)

摘要 电力电子技术产业作为当代高新技术尤其是信息技术产业与传统产业的接口,在国民经济中扮演着越来越重要的角色。此设计论述了彩灯的总体控制,彩灯将会随着音乐的节奏闪亮,大大的改善了人们的娱乐环境,人们将在音乐和灯光当中消除工作一天的疲惫,并且彩灯的控制不需要人为的操作控制,将会完全自动的运行,使人们感受到了娱乐场所的智能化,人性化。此设计采用了平时常用的集成电路,包括时钟电路、阶梯波电路、滤波器等等,将会很清晰的呈现出它的工作原理,它是电子技术的实例应用。关键词:电子技术音乐彩灯集成电路。II Abstract As the joint between the present hi-Tech industry especially the informationtechnology industry and tradition industry, power electronics industry has been playing amore and more important role in the country economy. Although this industry in Chinahas made some progress through developing more than 30 years, compared with thedeveloped countries the gap is still quite big. This industry can"t meet the demands of thecountry economy development in china. Constituting a series of systemic and scientificdeveloping strategy to speed this industry is the purpose of this paper. The importanteffects of this industry on country economy are discussed, the shaping and developingcondition of this industry is analyzed, and the advantages and disadvantages of thisindustry in China are pointed in this paper. On the basis of referring the advancedexperience of developed countries. keyword: electronic technique music illumination unicircuit

节电子技术课程设计报告日音乐彩灯连

河南机电高等专科学校电子技术课程设计报告设计课题:节日音乐彩灯连

题目:节日音乐彩灯连 一、设计任务与要求 选取一种方法设计音乐彩灯控制器,要求该音乐彩灯控制器电路由三路不同控制方法的彩灯所组成,采用不同颜色的发光二极管作课题实验。 1.第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 2.第二路按音量的强弱(信号幅度大小)控制彩灯。强音时,灯的亮度加大,且灯被点亮的数目增多。 3.第三路按音量高低(信号频率高低)控制彩灯。低音时,某一部分灯点亮;高音时,另一部分灯点亮。 二、方案设计与论证 1、设计思路 根据课题要求,可将控制器分为三部分来实现。 1.由于音乐的节奏是具有一定时间间隔的节拍脉冲信号。因此,可采用记数、译码驱动电路构成节拍脉冲信号发生器,使相应的彩灯按节奏点亮和熄灭。2.将声音信号变成电信号,经过放大、整流滤波,来点亮彩灯,以实现声音信号强弱的控制。3.采用高、低通有源滤波电路来实现高、低音对彩灯的控制。 2、总体方案方框图

3、方案一:简单声控音乐彩灯控制器 图2低成本声控音乐彩灯 简单声控音乐彩灯控制器的电路如图2所示,R1、R2、D和C组成电阻降压半波整波电路,输出约3V的直流电供SCR的控制回路用。压电陶瓷片HTD担任声-电换能器,平时调W使BG集电极输出低电平,SCR关断,彩灯不亮。当HTD接收到声波信号后,BG集电极电平升高,SCR即开通,所以彩灯能随室内收音机播出的节目的音乐节奏而闪烁发光。W可用来调节声控灵敏度,W由大调小时,声控灵敏度愈高,但W过小时,电灯常亮,这时就失去声控作用,使用调试时,将W由大逐渐调小至某一阻值时,电灯即点亮,再将W退回少许(即稍微调大),电灯就熄灭,这时声控灵敏度最高,离HTD二三米远处普通谈话声就能使彩灯闪烁。如嫌灵敏度太高,只要将W调大些即可,电灯长亮不熄,表示BG的放大倍数β值过小,应更换β大些的三极管。电阻均为1/8W碳膜电阻。 方案二:音乐彩灯控制器 如附录所示为实用音乐彩灯控制电路。该控制器由声电转换和放大电路、时钟脉冲发生器、计数电路和控制电路等组成。拾音话筒MIC将声音信

8路彩灯控制电路设计

《8路彩灯控制电路设 计》 祥设计报告 专业:_______________ 班级:_______________ 姓名: ________________ 学号:_______________ 指导教师:____________

2014年6月25日

1 ?课程设计的目的 2. 课程设计题目描述和要求 3. 电路设计 4. 设计过程中遇到的问题及解决办法 5. 结论与体会 附表参考书目

1 ?课程设计目的 1.熟悉仿真软件Multisim ,使用软件经行电路仿真; 2.第握数字电路课程学习的常见芯片的功能,熟悉其工作原理: 3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题; 4.增强学生动手能力,增加学生理论和实践结合的机会。 2. 课程设计题目描述和要求 设计题目 八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个 LED按照不同的花色闪烁 设计要求 1. 接通电源,电路开始工作,LED灯闪烁: 2. LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式 3. 电路设计 3. 1闪烁花型设计 花型I :8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。花型1【:8路彩灯由中间到两讪对称的依次点亮,余亮后仍由中间到两劝对称熄灭。 花型1【1: 8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。 花型IV: 8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。 花型状态编码表如表3. 1. 1所示。 每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。 表3. 1. 1 8路彩灯输出状态编码表

音乐彩灯控制器设计-课程设计(1)

课程设计说明书题目:音乐彩灯控制器 院(部):理学院 专业班级:应用物理14-1 学号: 2014305070 学生姓名:王伟洲 指导教师:李学超

安徽理工大学课程设计(论文)任务书理学院(部)物理系

前言 随着现在社会的发展,人们生活水平的提高,人们对娱乐环境的要求越来越高,娱乐环境中的灯光控制,成了一个重要的部分。为此,特意设计了关于音乐彩灯的控制。本设计要求将输入音乐信号分为高,中,低三个频段,并且分别控制三种颜色的彩灯.每组彩灯的亮度随各自输入音乐信号大小分为八个等级.输入信号最大时,彩灯最亮.当输入信号的幅度小于10mv时,要求彩灯全亮。

第一部分:实验原理部分 (一).设计框图及电路系统概述 设计框图: 电路系统概述: 1.声音信号要分为三个频段,所以第一步要通过滤波器进行滤波,将音频信号按要求分为三个频段。 2.经过放大器把毫伏级的声音信号放大为与比较信号可比的信号。由于直流信号才可比较,所以在进入比较器前先进行整流。 3.同步脉冲通过简易的数模转换产生阶梯波,放大后的信号与其比较产生高低电平,再和同步脉冲相与产生个数不同的脉冲去触发三极管,由触发脉冲的个数决定彩灯的亮度。 4.如果音乐信号小于10mV,用比较器产生高电平使或门的输出总为高电平,产生的高电平与1HZ的脉冲信号进行与,从而使灯亮暗闪烁。 (二).实验电路结构与分块电路原理 由本实验设计要求可将试验电路基本分为七个组成部分,即 1.电压转换部分 2.语音信号的输入部分 3.基本信号的放大部分 4,滤波选频部分(核心) 5.幅度控制部分 6 .输出显示部分 7.10毫伏比较扩展部分 第三部分:各单元电路的设计方案及原理说明 下面分别从以上几个分块电路说明该彩灯控制器的设计原理与过程. 1.电源电路: 由于实验给出电源为220V交流电,而实验所需芯片的工作电压大致在5-12V,故需要首先设计一个电压转换部分,将220V的交流电转换成5V,12V,相当于一个直流稳压源,以供数字和模拟芯片正常工作。其转换电路如下所示:

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

基于单片机的音乐彩灯控制器的设计(开题报告)

内江师范学院毕业论文 开题报告 论文题目:基于单片机音乐彩灯控制器的设计 学生姓名XX指导教师 XXX 二级学院工程学院专业名称电子信息工程班级09级2班学号 XXXX XXX年9 月9 日

毕业论文(设计)开题报告 论文题目基于单片机的音乐彩灯控制器的设计 一、选题的背景与意义(本研究的现状综述、理论价值与实际意义) 音乐彩灯是一种被普遍用于娱乐、酒店、饭店酒吧的一种电路装置,我们能在很多场合看到音乐彩灯的应用。 背景:在当今这个社会,音乐彩灯的发展非常广泛,各种娱乐场所,酒店,广场等都采用了彩灯烘托环境的美好。而音乐彩灯能在音乐的节奏下闪耀,更能给客人以全新的感觉。随着电子技术的发展,音乐彩灯有很高的发展前景。 意义:1、相比传统的中小规模集成电路和计算机控制,采用单片机来设计彩灯控制器具有体积小、功耗低、可靠性高、调节灵活等优点。 2、基于单片机的音乐彩灯的控制器有较强的抗干扰能力、低污染、低环境影响、不影响人体且适应性广等优点。对本课题的研究与设计,还能进一步提高自己的电路设计水平,深入对单片机的理解和应用; 二、研究的主要内容和预期目标(研究的框架,要求列到一级提纲) 研究的主要内容: 音乐彩灯控制器主要要求将音乐分成四个不同的频段,将彩灯分为四组,各组彩灯颜色不同,每组彩灯包含两个颜色相同的彩灯。彩灯和音乐的同步控制,用软硬件结合的方法来实现。设计采用AT89S52单片机作为主控芯片,通过3个按键进行数据的输入,编程控制单片机进行音乐播放和彩灯闪烁,并通过小喇叭输出声音,通过LED彩灯和12864液晶屏输出显示。通过软件来控制音乐频率以及发光二级管该亮哪组,亮几盏。在控制彩灯的同时去控制扬声,这样能较好的达到音乐与彩灯的同步控制。 研究的框架: 1 系统的总体设计 2 系统的硬件设计 3 系统的软件设计 4 实验调试 5 设计心得 6 参考文献 7 致谢

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

音乐节奏彩灯控制器设计

音乐节奏彩灯控制器设计 本设计彩灯伴随音乐的节奏、大小、音调而变化的彩灯控制器。使彩灯在艺术上有了很大的提高,本文的主要内容有以下几点: 1、设计音乐信号放大电路。 2、555时基电路构成单稳态实现音乐大小控制彩灯。 3、555时基电路构成多谐电路实现音乐节奏控制彩灯。 4、设计滤波电路,实现音乐的音调控制彩灯。 第1章绪论 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。但现在市面上的音乐彩灯只是按照一定的方式闪烁,让人们感觉到十分的粗糙无味,更没有声音那样用震撼力,音乐彩灯的出现让我们既有了听觉上的享受,更有了精神上的享受。但现在市面上的音乐彩灯只是按照音乐的一种方式闪烁,和音乐没多大关系,根本不能称为音乐彩灯。 本设计是一个音乐彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化,本电路的最大优点是可以实现音乐以三种方式控制彩灯的闪亮。实现了音乐大小、节奏、音调的控制。 设计任务与要求 (1)设计一个音乐声响与彩灯灯光相互组合的彩灯控制电路。 (2)有三路不同控制方法的彩灯,用不同颜色的LED表示。 (3)第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 (4)第二路按音乐大小(信号幅度大小)控制彩灯,音量大时,彩灯亮度加大,反之亦然。 (5)第三路按因调高低(信号频率高低)控制彩。

第2章音乐大小控制彩灯 2.1系统设计思路 音频在电信号中表现为多个正弦波叠加而形成。音乐的大小就表现为是演唱者的声音的强弱起伏,它在音频信号中表现为正弦波的波峰和波谷,所以在他达到波峰时说明他的音量大。在波谷是音量就小,所就需要一个触发电路使他在音量大的时候就彩灯发光,音量小的时候灯灭。综合考虑:选择了NE555够成的单稳态电路,由于单稳态电路是低电平触发所以还需要一个反相放大器。 音乐的节奏往往是由乐队的鼓点来体现,实质上是具有一定时间间隔的脉冲信号。根据设计要求,彩灯要随着节奏闪亮,需要一个触发电路来检测脉冲信号并产生计数脉冲。根据要求选择了NE555构成的无稳态触发器,由于触发器的触发电压比音频信号的高就还需要一个放大电路,有触发信号后就还需要计数器和译码器来使彩灯闪亮。 音调主要由声音的频率决定,同时也与声音强度有关。对一定强度的纯音,音调随频率的升降而升降;对一定频率的纯音、低频纯音的音调随声强增加而下降,高频纯音的音调却随强度增加而上升,所以应用滤波器滤出高频、低频。以方便驱动彩灯发光。 图2-1 总体框图 信号源经放大器放大后输出分别送往:(1)单稳态触发器,输出脉冲信号通过驱动电路来驱使彩灯发光;(2)多谐振荡器脉冲输出到计数器,计数脉冲通过计数和译码驱使彩灯发光;(3)送往高低通电路,取出所需要频段信号后驱动彩

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

EDA课程设计八路彩灯控制器样本

EDA课程设计 设计题目: 基于VHDL的8路彩灯控制器设计 一、课程设计的目的 1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。 2.增强自己实际动手能力,独立解决问题的能力。 3.经过课程设计对所学的知识进行更新及巩固. 二、课程设计的基本要求 本次课程设计是设计一个8路彩灯控制器, 能够控制8路彩灯按照两种节拍, 三种花型循环变化。设计完成后, 经过仿真验证与设计要求进行对比, 检验设计是否正确。 三、课程设计的内容 编写硬件描述语言VHDL程序, 设计一个两种节拍、三种花型循环变化的8路彩灯控制器, 两种节拍分别为0.25s和0.5s。三种花型分别是: ( 1) 8路彩灯分成两半, 从左至右顺次渐渐点亮, 全亮后则全灭。 (2)从中间到两边对称地渐渐点亮, 全亮后仍由中间向两边逐次熄灭。 (3)8路彩灯从左至右按次序依次点亮, 全亮后逆次序依次熄灭。 四、实验环境

PC机一台; 软件QuartusⅡ6.0 五、课程设计具体步骤及仿真结果 1、系统总体设计框架结构 分频模块: 把时钟脉冲二分频, 得到另一个时钟脉冲, 让这两种时钟脉冲来交替控制花型的速度。 二选一模块: 选择两种频率中的一个控制彩灯的花型。 8路彩灯的三种花型控制模块: 整个系统的枢纽, 显示彩灯亮的情况。 2、系统硬件单元电路设计 1.分频模块设计 实验程序: library ieee; use ieee.std_logic_1164.all; entity fenpin2 is port( clk:in std_logic; clkk:out std_logic); end fenpin2; architecture behav of fenpin2 is begin

音乐彩灯控制器.

摘要 本设计彩灯伴随音乐的节奏、大小、音调而变化的彩灯控制器。使彩灯在艺术上有了很大的提高,本文的主要内容有以下几点: 1、设计音乐信号放大电路。 2、555时基电路构成单稳态实现音乐大小控制彩灯。 3、555时基电路构成多谐电路实现音乐节奏控制彩灯。 4、设计滤波电路,实现音乐的音调控制彩灯。 关键字:555 时基电路、音乐大小、节奏、音调。

目录 第一章绪论 (1) 第二章音乐大小控制彩灯 (2) 2.1系统设计思路 (2) 2.2 音乐大小控制彩灯的工作原理 (3) 2.3音乐大小控制彩灯的电路设计 (3) 2.3.1 电源电路设计 (3) 2.3.3 放大电路设计 (4) 2.3.2 音乐大小控制彩灯的电路图的设计实现 (6) 第三章音乐节奏控制彩灯 (8) 3.1 音乐节奏控制彩灯的工作原理. (8) 3.2 音乐节奏控制彩灯的电路设计 (8) 3.2.1 音乐节奏控制彩灯的主要参数计算 (8) 3.2.2 音乐节奏控制彩灯总电路实现 (10) 第四章音调高低控制彩灯 (12) 4.1 音调高低控制彩灯的工作原理 (12) 4.2 音调高低控制彩灯的电路设计 (12) 4.2.1音调高低控制彩灯的主要参数计算 (12) 4.2.2 音调高低控制彩灯的电路图 (14) 第五章实物的制作和调试 (15) 总结 (19) 参考文献 (21) 附录 (22)

第1章绪论 第1章绪论 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别是在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。但现在市面上的音乐彩灯只是按照一定的方式闪烁,让人们感觉到十分的粗糙无味,更没有声音那样用震撼力,音乐彩灯的出现让我们既有了听觉上的享受,更有了精神上的享受。但现在市面上的音乐彩灯只是按照音乐的一种方式闪烁,和音乐没多大关系,根本不能称为音乐彩灯。 本设计是一个音乐彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化,本电路的最大优点是可以实现音乐以三种方式控制彩灯的闪亮。实现了音乐大小、节奏、音调的控制。 设计任务与要求 (1)设计一个音乐声响与彩灯灯光相互组合的彩灯控制电路。 (2)有三路不同控制方法的彩灯,用不同颜色的LED表示。 (3)第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 (4)第二路按音乐大小控制彩灯,音量大时,彩灯亮度加大,反之亦然。 (5)第三路按因调高低控制彩。

相关主题
文本预览
相关文档 最新文档