当前位置:文档之家› 电类课程设计-心率计

电类课程设计-心率计

电类课程设计-心率计
电类课程设计-心率计

重庆大学课程设计报告本课程设计名称:

指导教师:

学生:

学号:

年级:

专业:

学院:

完成时间:年月日

重庆大学教务处制

指导教师评定成绩

指导教师评定成绩:

指导教师签名:

年月

目录

一、引言 (1)

二、总体设计方案 (2)

2.1 设计要求 (2)

2.2 设计思路 (2)

2.3 测量方法及框图 (3)

三、单元电路设计 (4)

3.1 放大电路 (4)

3.2 滤波电路 (4)

3.3 电压整形 (7)

3.4 计数锁存 (9)

3.5 译码显示…………………………………………………………………….. .. 12

3.6 比较报警 (13)

3.7 时钟控制 (14)

四、总体电路 (15)

五、心得体会 (17)

六、参考文献 (17)

七、附件:任务书 (19)

一引言

心率是反映心脏工作是否正常的一个重要参数,也是反映一身体健康状况的重要参数之一,心率对于血液循环和心脏功能领域的研究具有重要意义,实时准确测量心率在生物医学以及体育运动方面都有着非常广泛的应用。

心率测试仪是医学中用来测试人体心率的装置,高精度的心率测试仪的研究和开发一直是医学仪器领域的重要课题。随着现代科学技术的发展和不断进步,人们对各种测试仪器的要求也越来越高,传统的心率测试仪器已经很难跟上时代的步伐,本设计便旨在通过已学的电路和硬件知识设计一款简易的数字心率测试仪,解决传统测量方法的不准确性和随机性等问题,它不但能够准确的测量出人体的心率,而且是以数字的方式将测量结果显示出来,使普通的非医务人员自己都能看懂测试结果,本心率计还能够对心率不正常的人们发出警报,从而提醒使用人员及时寻医救治。

二总体设计方案

2.1设计要求

设计任务:

现有测心率跳动次数的脉搏压电传感器输出信号为0.2V,干扰信号幅值为0.01V。且干扰信号的频率F>=1KHz,设计传感器输出之后的部分,完成心率测试仪的设计。

设计要求:

1、心率测试仪能够显示一分钟跳动的次数,并且每分钟刷新一次。

2、当跳动次数大于150或者小于60时,心率测试仪能够自动报警。

2.2设计思路

心率测试仪的基本功能是:用传感器将心率的跳动转换为电压信号,再加以放大、滤波和电压整形,在规定时间内测出每1 min的心跳跳动次数,并将其用数字的方式显示出来,当发现心率异常(心率<60或者>150)时,该心率测试仪发出警报。

由设计要求知道传感器输出信号为0.2V,干扰信号幅值为0.01V,且干扰信号的频率F>=1KHZ。现设计思路如下:

通过传感器获得微弱的人体心电信号(约0.2V),然后通过放大器将心电信号放大到5V左右,用滤波电路将心电信号含有的音频干扰滤除。整形电路先由比较器将脉搏波变换为方波,再通过单稳态触发器,把不规则的方波整形成为宽度相同,并且小于一个时钟周期的“干净”的矩形脉冲。计数器在一分钟的时间内对输入的时钟脉冲个数进行计数,由于计数芯片本身具有锁存功能,再经过译码电路即可得到瞬时心率值的BCD码,再经过驱动电路送至显示电路进行显示,在送至驱动电路的同时也要送至比较电路进行比较,看计数是否超出正常范围,从而决定是否报警。矩形窄脉冲作为锁存器的锁存使能信号,并经过延迟后作为计数器的清零信号,这保证了计数器在瞬时心率周期内进行计数,且锁存显示的是前一次心跳的速率。

2.3 测量方法

脉搏的跳动是由于血管的舒张和收缩形成的。舒张和收缩一次就是一次脉搏的跳动。通过传感器来检测到舒张和收缩时信号的不同就可以得到脉搏的跳动次数。这样我们只需要在一分钟以内得到有多少个相同的信号也就得到了脉搏跳动

的次数。

具体的流程图如下:

三单元电路的设计

3.1放大电路

对传感器出来电压信号放大要求输入阻抗高,输出阻抗低,放大倍数足够大,低频响应好。设计任务书中给出的传感器输出信号为0.2V,干扰信号幅值为0.01V,且干扰信号的频率f大于等于1KHz。传感器输出信号的电压太小不便测量,必须进行放大。

考虑大多数器件的工作电压,将总的放大倍数设定为25倍,这样0.2V*25=5V 较为合适。选用的放大器为LM324AD,开环增益60db,放大方式采用集成运放电路的电压串联负反馈电路进行放大,电路如图所示:

图3.1 放大电路

放大倍数计算如下:

F=R2/(R2+R3) F为反馈系数。

A=Ak/(1+Ak*F)Ak为开环增益,A为闭环增益。

当开环增益Ak足够大时,A=1/F,

则A=1+R3/R2。

令R1=R2=10K,设置的放大倍数A=25,则R3=240K。

3.2 滤波电路

正常人的心跳在一分钟以内不会超过200次/min,人体最高脉搏频率为2.5Hz,正常情况下人体心跳最高可达240次/min,所以考虑设置滤波电路的截止频率为4Hz左右。

设计采用二阶低通有源滤波器。电阻R4与电容C1、电阻R5与电容C2构成低通滤波器,将心电信号中的音频噪声滤去。R4 、R5取阻值为100kΩ,C1,C2容量根据实际测量值而定。运放采用电压串联负反馈,提高了放大倍数的稳定性,且运放级输入电阻较大,输出电阻较小。其电路图如下,所采用的器件型号及其值的大小都如电路图3.2中所示。一阶低通滤波器的下降比较缓慢,滤波特性不好。二阶低通滤波器,输出电压在高频段迅速下降,但在接近于通带截止频率的范围内下降的不多,从而有利于改善滤波特性。

图3.2 对数幅频特性

二阶低通滤波电路的通带电压放大倍数Aup=1+R7/R6,取R6=R7=100 kΩ得到电压放大倍数为2倍。因为R4=R5,C1=C2,则通带截止频率f0=1/2πRC,通过该公式计算f0=1/(2*3.14*100K*0.1uF),得到截止频率f0约为16Hz。再用二阶滤波器的时候要考虑品质因数Q=1/3-Aup。我们知道在Q=1时,即可保持通频带的增益,而高频段幅频特性又能很快衰减,同时还避免了在f= f0处幅频特性产生一个较大的凸峰,因此滤波效果较好。

其放大电路的仿真结果:

为了尽量得到与实际电路输出相近的结果,运用了ORCAD中的PSpice对设计出来的电路进行仿真。

为了尽量得到接近真实的数据,在仿真的数据设定时设定输入的电压幅度为0.2V。经过该放大滤波电路后输出的仿真对数幅频特性如图3.3所示:

图3.3 对数幅频特性

从图3.3中可以看到,放大倍数Aup 大约为10db ,通频带截止频率为30Hz 左右,基本满足设计要求。

图3.4 对数幅频特性与频率响应曲线

从图3.4中可以得到在放大倍数下降到0db 的时候,相位在—140°左右,这说明不会产生自激,放大滤波电路工作稳定。

图3.5

放大电路频率曲线

Frequency

1.0Hz

3.0Hz 10Hz

30Hz 100Hz 300Hz

vdb(out)

-10

10

20

Frequency

1.0Hz

3.0Hz 10Hz 30Hz 100Hz 300Hz 1.0KHz 3.0KHz 10KHz

vp(out)

vdb(out)

-400

-300

-200

-100

-0

100

Frequency

1.0Hz

3.0Hz 10Hz 30Hz 100Hz 300Hz 1.0KHz 3.0KHz 10KHz

V(out)

0V

1.0V

2.0V

3.0V

4.0V

从图3.5中看出放大后的电压有三点几伏,在设计要求的范围内。

从上面的仿真结果可以看出,设计的放大滤波电路在制作成为实际电路的时候基本可以满足设计的要求。

3.3 电压整形电路

放大器输出的心电信号不能直接用于心率测量,本设计采用施密特触发器将放大的滤波后的非矩形信号整形成矩形脉冲以便计数测量。

施密特触发器是脉冲变换中经常使用的一种电路,它在性能上有两个重要的特点:

第一,输入信号从低电平上升的过程中,电路状态转换时对应的输入电平,与输入信号从高电平下降过程中对应的输入转换电平不同。

第二,在电路状态转换时,通过电路内部的正反馈过程使输出电压波形的边沿变的很陡。

利用这两个特点不仅能将边沿变化缓慢的信号波形整边为边沿陡峭的矩形波,而且可以将叠加在矩形脉冲高、低电平上的噪声有效地清除。如下图所示。

图3.6 电压整形电路

作用分析如下:

假设反相器U2A和U3A的阈值电压V=1/2 VDD,且R4﹤R5,

1、当输入VI=0时,Vout=0

2、当VI上升时,使R4与R5之间的电压为反相器的阈值电压时,

由于U2A进入电压传输特性的转折区,Vout=VDD,将引发正反

馈使R4与R5之间的电压继续增大,于是电路的状态迅速转换

为Vout=VDD。由此可以求出电压上升过程中电路状态转换时,

输入的电平:Vt+=(1+R4/R5)*Vth ,我们称之为正向阈值电压。

3、同理可得下降过程中的输入电平:Vt-=(1-R4/R5)*Vth ,我

们称之为负向阈值电压。

施密特触发器的电压传输特性曲线是滞回曲线,如下图所示:

图3.7 施密特触发器滞回曲线

整形电路的计算如下:

要求 3.5T V V +=, 1.5T V V -=,整形后0O L V V =,O H D D V V =,取VDD=5V, 则1(1) 3.52T TH R V V V R +=+=

1(1) 1.52

T TH R V V V

R -=-

= ,从以上两式解出

1 2.5, 2.52

T H R V V V

R ==

令R4=10K,则R5=25K 。

在OrCad 中模拟得到波形,如下图所示:

Time

0s

0.5s

1.0s

1.5s

2.0s

2.5s

3.0s

3.5s

4.0s

4.5s

5.0s

V(V2)

0V 2.0V

4.0V

6.0V

图3.8 经整形电路整形后的矩形波

电路中所用的反相器为7404,以下是7404的管脚排列及参数说明,如下图示:

图3.9 7404管脚图

3.4 计数及锁存电路

通过整形电路整形后,我们得到完整的矩形脉冲信号,上升沿和下降沿都很陡,符合数字信号要求。考虑到带有锁存的计数芯片连线很复杂,因此考虑用一个计数芯片加上一锁存芯片来控制一位数。本设计采用的计数电路时用3片74160芯片组成的,74160是十进制同步计数器,他们分别组成心率的个位,十位,百位,每一片的输出接的是74HC175芯片,74HC175是4位二进制寄存器,他们的作用是保存每分钟的计数结果,作为数码管输入信号。

在该设计中利用计数芯片清零与锁存芯片置数控制端的时序的差异来实现在清零完成之前把数置到锁存芯片中。三片74160从右至左依次是心率计数的个位、十位、百位,74160用同步预置数,只有当清零端为高,置数端为低,在一个计数脉冲的上升沿的时候才会进行预置数。反相器的作用是防止前一位计数到9时就产生了进位计数,ENP和ENT是功能控制端口,它们均接高电平,异步清零端和同步预置数控制端口接在一起同时接在端口1上,端口1的作用是使计数器每一分钟清零,重新开始计数。而74HC175只要把清零端置高,给时钟端一个上升沿它就置数了。给74160的置数端接了一个反相器,既满足了要把置数端为低,也满足比74HC175的置数完成要延后一点时间的要求,从而不会产生时序混乱。使计数锁存正常的完成。

其中CLK接到一起同时接到接口2,它是一分钟定时电路的一个输出,作

用是控制寄存器每分钟更新一个计数结果。

整体电路如图所示:

图3.10 计数寄存电路

同步十进制加法计数器74160的功能表:

表3.1 74160功能表

图3.11.1芯片状态转换表图3.11.2芯片引脚图

74175四D触发器锁存芯片功能表:

图3.12 74HC175功能表

其芯片引脚图如下:

图3.13 74HC175引脚图

从74160和74175芯片的功能表中看到,74160芯片采用异步清零,要清零端为低才会清零。74175锁存芯片采用上升沿置数,这在时序上没有冲突,满足设计要求。

3.5 译码显示电路

对心率信号进行计数之寄存之后,就要求对最后的结果进行显示。设计采用的是74487段显示译码器和BS201A公阴极数码管3组,组成的个位,十位,百位显示电路。

7448七段显示译码器输出高电平有效,用以驱动共阴极显示器。该集成显示译码器设有多个辅助控制端,以增强器件的功能。

显示74LS48七段数码显示译码器输出高电平有效,用来驱动共阴极显示器。

它的集成模块图形如右图所示,各管脚功能如下:BI/RBO灭灯输入端有时做为输入,有时做为输出。当BI/RBO作为输入使用且BI=0时,无论其他的输入端是什么电平,所有各段输出a----g均为0,字形熄灭。LT是试灯输入,当

图3.14 7448引脚图

LT=0 ,BI/RBO是输出端,且RBO=1 此时无论其他输入端是什么状态,所有各段输出a----g均为1,显示字形8.该输入端可用于检查7448显示器本身的好坏。RBI动态灭零输入。RBO为动态灭零输出。BI/RBO作为输出使用时,受控于LT和RBI。当LT=1且RBI=0,输入代码DCAB=0000时,RBO=0;若LT=0或者LT=1且RBI=1,则RBO=1。该端主要用于在显示多位数字时,多个译码器之间的连接。

该集成显示译码器设有多个辅助控制端,用以增强器件的功能。四位二进制输入,利用多片7448集成模块和它特有的辅助控制端可以构成多位显示,体积小,功耗低,使用起来很方便,可以驱动共阴极显示器本电路主要是利用7448模块将计数器输出的二进制数码转换成十进制并驱动共阴极显示器显示数字,完成译码功能。

数码管选择的是BS201A共阴极7段数码管,这种数码管的每个线段都是一个发光二极管(LED),LED数码管工作电压低,,体积小,寿命长,可靠性高。它的输入必须接高电平才能够使相应位段发光,故连接电路如下所示:

图3.15 数码管电路连接方式

总的译码显示电路如图:

图3.16 译码显示电路

3.6 比较报警电路

计数显示完成后,设计要求当心跳次数小于60次或大于150次测试仪就报警。设计采用三片74LS74芯片和各种门电路组合形成的组合电路,蜂鸣器为BUZZER,组成的电路图如下:

7402

图3.17 比较报警电路

报警电路原理:

当右支路(7410)导通时,代表>150有效;当左支路(7400)导通时,代表<60有效,当两者有其中一者有效时,74LS74D触发器导通(即有效),它将定时器连用,当这两者都有效时,二极管导通,蜂鸣器发出报警,从而实现报警功能。

3.7 时钟控制电路

测量有准确时限要求,需要进行1分钟准确定时计数。设计采用555定时器先接成施密特触发器,在施密特触发器的基础上改接成多谐振荡器。只要把是施密特触发器的反相输出端经RC积分电路接回到它的输入端,就构成了一个多谐振荡器。把振荡周期设置长于一分钟就就可以作为定时器使用了。

CB555功能表:

TH (电位) TRIG

(电位) Rd

(逻辑电平) OUT

(逻辑电平) DIS (NMOS 管) × × 低电平 低电平 导通 >2VDD/3 >VDD/3 高电平 低电平 导通 <2VDD/3 >VDD/3 高电平 保持 保持 ×

高电平

高电平

截止

表3.2 555定时器功能表

振荡器的周期其实就是电容C2 充电时间和放电时间的总和。

具体的计算如下:

充电时间T1:

放电时间T2:

电路的振荡周期T :

其输出脉冲的占空比q :

由上述计算公式,带入图中器件的值可以算出图中所示电路的振荡周期T=60.04S ,其占空比q=。电路如图:

R40

868K

R411.449K

0.01uF

100uF

U35A

74041

2

VDD

U34555alt

GND

1

TRIGGER 2

OUTPUT 3

RESET

4

CONTROL

5THRESHOLD 6

DISCHARGE 7

VCC

8

图3.18 555时钟控制电路

四 总体电路

U28A

74LS74

五心得体会

本次电类课程设计,采用了通用的设计方法,放大、滤波、整形、定时、计

数、显示和报警,运用到很多基础的芯片,从而锻炼了我们的基础能力,学到了很多东西,也让我意识到许多不足之处。

刚开始做设计的时候,比较茫然,没有什么具体的方向和计划,完全不知道该从何处着手,毕竟是第一次做类似的事情。冷静下来之后按照设计要求,终于慢慢的开始一步步实现,放大,滤波,整形、、、通过查找资料,逐步地得到了设计的具体方案,在整个设计过程中,复习了数字和模拟电路中的很多具体内容,巩固了以前学习的基础知识,学了忘记了也重新记起来。这次课程设计跟平时上课做实验不一样,平时都是验证性质的,照着要求做一边就可以了,而这个才是真的自己用所学的东西做一件有用的东西出来,完成后的感觉不错,意识到三年的东西还是有用的,没白学。

同时设计过程中也遇到了很多麻烦,由于时间问题和资源的限制,没能充分得解决。比如用CAD作图很不熟练,元器件在哪里经常找半天,仿真也不太会,

还有就是对人工控制部分完全没有任何处理。

通过这次课程设计,让我意识到基础知识和自主动手实践的重要性和必要性。在接下来的学习生活中,我会努力的学习专业知识,努力创新,为未来的社会建设做一个有用的人。

六参考文献

【1】阎石.《数字电子技术基础》.高等教育出版社,2006.12

【2】杨素行.《模拟电子技术基础》.高等教育出版社,2006.3

【3】程咏梅. 夏雅琴. 尚岚. 人体脉搏波信号检测系统[J].

北京生物医学工程, 2006. 25(5):520- 523.

【4】瞿年清. 谢梦洲. 脉搏波形释义[J]. 中国中医药信息

杂志. 2007. 14(6):3- 4.

【5】潘银松.《电子电路CAD》.重庆大学出版社.2007.1

数字人体心率检测仪的设计

数字人体心率检测仪的设计 1.设计思路 本课题研究的是数字人体心率监测仪的设计,我所设计的检测仪,它使用方便,只需将手指端轻轻放在传感器上,即可实时显示出你的每分钟脉搏次数,特别适合体育训练和外出旅游等场合使用。采用红外光学检测法,摒弃了不便于运动状态下测量脉搏的听诊器和吸附在人体上的电极等老式测量方法。检测的基本原理是:随着心脏的搏动,人体组织半透明度随之改变:当血液送到人体组织时,组织的半透明度减小:当血液流回心脏,组织则半透明度增大。这种现象在人体组织较薄的手指尖,耳垂等部位最为明显。因此,本心率检测仪将红外发光二极管产生的红外线照射到人体的上述部位,并用装在该部位的另一侧或旁边的红外光电管来检测机体组织的透明程度并把它转换成电信号。由于此信号的频率与人体每分钟的脉搏次数成正比,故只要把它转换成脉冲并进行整形,计数和显示,即可实时的测出脉搏的次数。 心率与脉搏的联系:心率与脉搏在身体正常的时候是相等的。在房颤等心脏疾病时候可出现不等。因此心率测量问题可以转化为脉搏的测量,而脉搏的测量有更容易实现的特点,在实际应用中得到更广泛的运用。 本检测仪的有效测量范围为50次—199次/分钟。 2 方案设计 2.1 心率采集处理电路 心率采集处理电路如图1-1所示。该部分电路主要由脉搏次数红外检测采集电路模块、信号抗干扰电路模块、信号整形电路模块等三个主要的电路模块组成。其中,红外线发射管D1和红外线接收管Q1组成了红外检测采集电路:R2与C1、C2与C3、R4与C4和ICA共同工程了信号抗干扰电路组,他们分别承担了对信号的低通滤波、干扰光

线的光电隔离、参与高频干扰的滤除等任务。另外,I CB、C5与R10、ICC则共同组成了信号整形电路模块。 图1 光电式脉搏波传感器的原理 其原理是利用光电信号来测量脉搏容量的变化。当血管内容量变化时,组织对光的吸收程度相对发生变化,利用光电传感器可测出这种变化,该变化反映出血液动脉的基本参数情况。根据朗伯特—比尔(lambert—beer)定律,物质在一定波长处的吸光度和他的浓度成正比,当恒定波长的光照射到人体组织上时,通过人体组织吸收、反射衰减后测量到的光强在一定程度上反映了被照射部位组织的结构特征。 光源和光敏元件分别处于被测部位的两侧,光源发出的光线可以经指尖部组织投射到光敏元件所在的窗口,从而有光敏元件检测出脉搏的波动信号,这样纪录的波也有将其作为指尖容积波处理,通常称这种传感器为透射型光电式脉搏波传感器。 本次设计原用的透射型光电式脉搏波传感器,其电路如图2 所示。

基于单片机的心率计设计

目录 摘要 (3) 英文摘要 (3) 1 引言 (4) 1.1 心率计的研究背景和意义 (4) 1.2 心率计的研究现状及发展动态 (4) 2 方案论证及元器件选择 (5) 2.1 研究内容及设计指标 (5) 2.2 方案设计与论证 (5) 2.2.1 传感器的选择与论证 (5) 2.2.2 信号处理方案选择和论证 (7) 2.2.3 单片机系统选择和论证 (8) 2.2.4 显示模块选择和论证 (9) 2.3元器件选择及其功能介绍 (9) 2.3.1单片机AT89S52 (9) 2.3.2红外传感器 (11) 2.3.3双运算放大器LM358N (11) 2.3.4 LCD12864 (12) 3 硬件系统设计 (13) 3.1 系统设计框图 (13) 3.2 信号采集电路 (14) 3.3 信号放大电路 (15) 3.3.1一级信号放大电路 (15) 3.3.2 电源模块设计 (16) 3.4 信号比较电路 (17) 3.5 LCD显示电路 (18) 3.6 记忆电路 (18) 3.7 键盘电路 (19) 4 软件设计 (19) 4.1 测量计算原理 (20) 4.2 主程序流程图 (20)

4.3 中断程序流程图 (21) 4.4 定时器T0,T1的中断服务程序 (21) 5 系统测试与结果分析 (22) 5.1 测试方法和仪器 (22) 5.2 仿真与焊接阶段 (23) 5.2.1 仿真阶段 (23) 5.2.2 焊接与完成阶段 (23) 5.3 测试数据与结果分析 (25) 5.3.1测量结果与分析 (25) 5.3.2几种主要系统干扰和影响 (27) 结束语 (28) 参考文献 (29) 附录一:心率计电路图 附录二:部分程序

数字脉搏计_实验报告

【设计任务与要求】 1、要求用十进制数显示被测人体脉搏每分钟跳动的次数,测量范围30~160次/min; 2、要求在短时间内(5s、15s)测出脉搏数/每分钟; 3、测量范围要求在±4次/min以内; 4、要求锁定每分钟脉搏数,将测量结果通过数码管出来,共分为显示计数过程,不显示技术过程两种方案; 5、要求采用手动清零、自动清零(自启动)两种方式。 【课程方案原理框图】 【课程方案】 1、信号发生与采集将脉搏跳动信号传感器转换为与此相对应的电脉冲信号; 2、放大电路把传感器的微弱电流放大,微弱电压放大,采用高输入阻抗的非门进行放大; 3、低通滤波滤除空气中的高频,只让低频脉冲信号通过。对脉搏信号进行采集的时候,空气中交流工频干扰最大,根据有源滤波原理将其滤除。 4、整形电路可用两个非门组成的施密特触发器对放大后的信号进行整形; 5、定时电路用555定时器组成多谐振荡器,达到5s、15s的精确计时; 6、通过计数、译码、显示读出脉搏数,并以十进制数的形式由数码管显示出来。数码管采用共阴数码管。 【单元电路设计与参数计算】 1、信号发生与采集: 通过陶瓷压电传感器对脉搏进行采样收集。 2、放大与滤波电路: 将5mV的正弦信号放大为5V的正弦信号,即差模电压增益为1000。

图示为用LM324设计的同相放大器,其输出信号,Vi 为幅值为5mV 的输入信号。则另: 倍。,即正弦信号放大了可得10001000,321,33≈= Ω==Ω=Vi Vo Av K R R M R Vi R R Vo )1 3 1(+=左图为二阶低通滤波器电压增益随频率变化曲线,在f=f o 之后随f 增加,增益急剧下降,从而达到低于f 频率通过的效果

便携式心率测试仪(开题报告)

五邑大学 电子系统设计开题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 开题报告日期

一、课题来源、国内外研究现状与水平及研究意义、目的。 1.课题来源 便携式心率测试仪 2.国内外研究现状与水平 便携式医疗设备正不断改进数以百万计患者的医疗保健条件。现在外国的先进运动手表甚至能够无线记录用户的心率。未来,还将有众多能显著改善医疗实施及其效果的创新型医疗应用产品。 满足便携式医疗领域的微处理器需求给半导体企业带来了挑战。虽然工程设计无外乎是在相对立的功能、规范以及空间限制条件之间进行取舍,但是这种平衡取舍在便携式医疗领域往往非常棘手。医疗市场的相关需求往往很难协调,如小尺寸与高功能性、低功耗与高性能模拟,以及超长电池使用寿命与高处理能力等。这些产品需要模数转换器 (ADC)、可调节增益、电源管理以及液晶显示屏 (LCD) 等。这些都将是需要我们更多的去研究和发展。 3.研究意义和目的 以往专门测量心率值的仪器较少,人们为了知道自己的运动或者劳动强度是否超负荷,尤其是老年人或运动员等,他们都得赶到医院而不能实时测量和预知。为了观测“预防为主”的方针,为了实现人人能享受基本医疗保健的目标,把过去的以医院为轴心的医疗服务体系过度到以家庭为基础的社区卫生服务体系已成为必 然趋势。所以便携式医疗仪器已相继问世。便携式心率测试仪属于一种集轻型化、一体化、可视化等优点的测试仪;同时它适合在家庭和社区条件下使用。心电诊断仪、心率计的应用在心血管疾病的研究和诊断方面发挥出显著的作用,它们所记录的心脏活动时的生物电信号,已成为临床诊断的重要依据。该心率仪可用于临床心率监护;并为体力劳动者劳动强度测定、运动员及士兵训练强度测定等提供确凿的和必不可少的生理指标。 二、研究内容,拟采取的研究方法、实验过程、预期成果。(附主要参考文献)1.研究内容 将脉搏通过传感器转为电压信号,再通过不同的集成芯片将电压信号完成放大、滤波、整流等一系列工作,然后利用单片机进行处理计算。实现在任何地点任何时间都能快速检测出人体的心率,达到集轻型化、一体化、可视化等优点于一身的系统。 2.拟采取的研究方法 了解课题所需知识点,然后翻阅相关资料和教材,通过网页搜索查找相关资料,计算各参数,了解各元器件的功能作用,设计电路图,用相关的仿真软件进行仿真,最后进行实物调试。

数字心率计设计资料

数字心率计设计说明书 1、程设计任务书

2.说明书正文 2.1:任务分析与方案设计 心率计是用来测量一个人心脏在单位时间跳动次数的电子仪器。心脏的收缩和舒张引起血压的变化,不同年龄段和不同健康状况的人正常血压范围有较大差异,但是收缩压和舒张压的差值却大致都在40mmHg~50mmHg 范围内。基于此,可以利用压力传感器将人体血压的变化转化成电压的变化,再通过滤波、放大、整形后得到方波,由模拟转化成数字后再进行后续处理。现提出两种计数方案: 1)定时计数 在一定时间内对脉冲信号进行计数。由于任务要求在短时间内测出1分钟心脏跳动的次数,则需要对整形后的方波信号进行倍频;又由于测量误差要求≤±4次/分钟,则最多可以4倍频,此时,测量时间为15s 。 电路模块方框图: 2)定数计时 在定数的脉冲信号持续时间内,对标准时钟信号进行计数,再通过转换得到心率值。如 设置标准时钟信号周期为0.1s ,在 6个脉冲信号持续时间内(即5个心脏跳动周期)对标准时钟信号进行计数,设计数值为 N ,则心率为3000/N 。计算过程如下: 每个脉冲周期To=0.1N/5 s ,则心率S=60/To=3000/N(次/ 分钟)。 电路模块方框图: 方案一的测量时间长,测量误差也较大,且测量误差与测量时间成反比关系;但是计数

值即为心率值,电路实现较为简单。方案二测量时间短,测量误差也小;但是计数后的值还需要进行除法转换后才是心率值,电路实现较为复杂,成本也较高,故采用方案一。 2.2:电路设计,元器件参数计算及选择 2.2.1:传感器的选择 传感器的选择需要综合考虑各项性能参数,这些性能参数要能满足测量要求,现对传感器的各项性能参数以及任务要求分析如下: 1)线性度指传感器输出与输入之间成线性的程度。任务要求是测量心脏跳动的次数,而并未要求测量出血压值,故只需要得到一个个脉冲输出即可,对其量值没有太大要求,故系统对传感器线性度要求不高。 2)灵敏度灵敏度是传感器在稳态下输出变化量对输入变化量的比值。由于人的血压压力较小,属于微压,也是微压差,故要求传感器有较大的灵敏度,才有一个比较大的输出量,对噪声的抑制也会更高。当然,灵敏度也要和后级放大器的放大倍数相匹配,并不是越大越好。3)迟滞也叫回程差,是指在相同测量条件下,对应于同一大小的输入量,传感器正反行程的输出信号大小不相等的现象。由于系统仅要求测出脉冲输出即可,故对迟滞性能要求不高。4)重复性表示传感器在输入量按同一方向作全量程多次测试时所得输入-输出特性曲线一致程度。重复性好,对于噪声抑制有利,故要求传感器有较好的重复性。 5)漂移指传感器在输入量不变的情况下,输出量随时间变化的程度。要求传感器有较小的漂移。 6)频率响应由于脉搏频率较低,所以对传感器频率响应要求不高。 综合以上性能参数以及任务要求,可以选出既能满足测量要求,又最便宜的传感器。通过搜集大量压力传感器信息进行综合比对,飞思卡尔的MPX2050D压阻式硅压力传感器能够满足要求。其主要特点如下: 压力范围0到50kPa 温度补偿范围0 到 +85℃ 独有的硅切应力应变片 提供编带式或卷轴式出货封装选项 对供电电压比率输出 外壳采用聚砜(Mindel S–1000)材料(医用5级许可) 图2.1 传感器外形图图2.2 传感器示意图 其主要性能参数如下: 表2.1

电子技术课程设计——红外线心率计

电子技术课程设计 报告 专业: xxxx 班级: xxxxx 姓名: xxx 学号: xxxxxxxx 指导教师: xxx 完成日期: xxxx年x月x日 目录 一、设计目的------------------------------3 二、设计要求------------------------------3 三、设计指标------------------------------3 四、设计框图及整机概述--------------------3 五、各单元电路的设计及仿真-----------------4 1、检测电路-----------------------------4 2、放大电路-----------------------------5 3、滤波电路-----------------------------5 4、整形电路-----------------------------6 5、倍频电路-----------------------------6

6、定时电路-----------------------------7 7、计数电路-----------------------------7 8、译码电路-----------------------------8 9、显示电路-----------------------------9 六、电路装配、调试与结果分析---------------9 七、设计、装配及调试中的体会---------------10 八、附录(包括整机逻辑电路图和元器件清单)-10 九、参考资源-------------------------------11 一、设计目的 电子技术综合设计是综合应用模技术拟电子技术、数字电子技术、电子设计自动化技术进行电子系统的综合设计。本课程设计通过电子脉搏测试仪的设计,要求学生对红外线心率计的电路布局、安装、调试,让学生了解电子产品的生产工艺流程,掌握常用元器件的识别和测试及电子产品生产基本操作技能,培养学生的动手能力。 二、设计要求 脉搏测试仪是用来测量一个人心脏跳动次数的电子仪器,也是心电图测量的组要部分。本次课程设计要求用红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。 三、设计指标 1、设计一个脉搏测试仪,要求实现在30s内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60-80次/min,婴儿为90-100次/min,老人为100-150次/min。可自行设计所需的直流电源。 2、设置指示电路指示直流电源的正常与否。 3、放大电路之后设置指示电路指示放大电路的正常与否。 4、放大电路放大倍数可调。 5、整形电路输出的方波占空比可调。

人体脉搏计--课程设计报告

人体脉搏计 (1) 设计内容及要求 设计题目:设计一个人体脉搏计。 内容简要:人体脉搏计的设计是基于传感器,放大电路,显示电路等基础电路的基础上,实现对人体脉搏的精确测量。其设计初衷是适用于各年龄阶段的人群,方便快捷的测量脉搏次数,并用十进制数显示出来。具体的各部分电路接下来将介绍。 传感器信号:传感器采用了红外光电转换器,作用是通过红外光照射人的手指 的血脉流动情况,把脉搏跳动转换为电信号。 放大电路:由于人体脉搏跳动经过传感器后的初始信号电压值很小,所以利用反相放大器将采集的电压信号放大约50倍。又因为该信号不规则,将接入有源滤波电路,对电路进行低通滤波的同时,再次将电压信号放大1.6倍左右。该电路使信号得到80倍的放大,充分的放大方便了后面的工作电路。 整形电路:本电路旨在采用滞回电压比较器对前面放大以后的信号进行整形,使信号更规则,最终输出矩形信号。 倍频电路 :倍频电路的作用是对放大整形后的脉搏信号进行4倍频处理,以便在15s 内测出1min 内的人体脉搏跳动次数,从而缩短测量时间,以提高诊断效率。 基准时间产生电路:基准时间产生电路的功能是产生一个周期为30s (即脉冲宽度为15s )的脉冲信号,以控制在15s 内完成一分钟的测量任务。具体各部分是由555定时器产生一个周期为0.5秒的脉冲信号,然后用一个D 触发器进行二分频得到周期为1s 的脉冲信号。再经过由74LS161构成的十五进制计数器,进行十五分频,再经D 触发器二分频,产生一个周期为30s 的方波,即一个脉宽为15s 的脉冲信号。 计数、译码、显示电路:计数器采用3个二进制计数器74LS161分别作个、十、百位,并将其设计成十进制计数器(逢十进位),再由7448译码器译码后接到七段数码管LTS547R (共阴极)上完成三位数十进制数的显示。 控制电路:控制电路的作用主要是控制脉搏信号经放大、整形、倍频后进行计数的时间,另外还具有启动电路及为各部分电路清零等功能 设计要求:最终仪器要能够实现在15s 内测量1min 的脉搏数,并且显示其十进制数字。参考值:正常人的脉搏数为60~80次/min ,婴儿为90~100次/min ,老人为100~150次/min 。所以需要三个显示数码管才能完成显示功能。 (2) 系统框图介绍及方案选择 结合以上各部分电路内容及设计要求分析,以控制电路为枢纽,将经传感器、放大整形电路、倍频电路的脉搏信号和时间信号通过控制电路实现对计数器的控制,使其能够准确的显示脉搏数。脉搏计的原理结构图如下: 根据此框图,各部分电路有如下几种设计方案:放大电路可以在同相放大器和反相放大器之间选择,二者几乎没有区别,在此选择使用反相比较器;整形电路可以用555构成的施密特触发器或者由运放组成的迟滞电压比较器,考虑到运放的使用较555简单方便,图1 脉搏计结构框图 控 制 电 路 基准时间产生电路 计数 译 码 显示 传感器 放大与整形 倍频器

心率测试仪

1.消抖电路: 2.分频器: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fpq is port (clk: in std_logic; f50k:buffer std_logic:='0'; f1k:buffer std_logic; f5k:buffer std_logic; f2k:buffer std_logic; f100hz:buffer std_logic; f2hz:buffer std_logic; f1s:buffer std_logic; f6s:buffer std_logic:='1'); end fpq; architecture one of fpq is signal count_6s,count_100: std_logic_vector(3 downto 0); signal count_1m,count_1s,count_05s,count_1k,cou nt_2k, count_5k: std_logic_vector(8 downto 0); signal count_hec:std_logic_vector(9 downto 0); signal fpq_hec:std_logic_vector(9 downto 0); Begin --50khz process(clk) begin if(clk'event and clk='1')then if(count_1m="011111001")then--500分频(系统时钟25MHz) count_1m<="000000000"; f50k<=not f50k; else count_1m<=count_1m+1; end if; end if; end process; --5Khz process(f50k) begin if(f50k'event and f50k='1')then if(count_5k="00000100")then--10分频count_5k<="000000000"; f5k<=not f5k; else count_5k<=count_5k+1; end if;

数字式脉搏计设计与制作

《数字电子技术》课程设计报告 班级电气1077 学号 1071206138 学生姓名缪亮亮 专业电气工程及其自动化 系别电子与电气工程学院 指导教师电子技术课程设计指导小组 淮阴工学院 电子信息工程系 2009年12月

1、设计目的: a) 培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产 实际知识去分析和解决工程实际问题的能力。 b) 学习较复杂的电子系统设计的一般方法,了解和掌握模拟、数字电路等知 识解决电子信息方面常见实际问题的能力,由学生自行设计、自行制作和自行调试。 c) 进行基本技术技能训练,如基本仪器仪表的使用,常用元器件的识别、测 量、熟练运用的能力,掌握设计资料、手册、标准和规范以及使用仿真软件、实验设备进行调试和数据处理等。 d) 培养学生的创新能力。 2、设计要求: 要求:实现在15S内测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 1、主要单元电路和元器件参数计算、选择; 2、画出总体电路图; 3、安装自己设计的电路,按照自己设计的电路,在通用板上焊接。焊接完 毕后,应对照电路图仔细检查,看是否有错接、漏接、虚焊的现象。 4、调试电路 5、电路性能指标测试 3、总体设计: 3·1数字脉搏测试仪原理方框图

上图即为数字式脉搏计的总体设计框图。该数字式脉搏计由以下几部分组成:1)脉冲产生电路 2)放大整形电路 3)计数电路 4)定时电路 5)译码显示电路 3·2电路组成及工作原理 数字式脉搏计设计的思路是:先由压电陶瓷片YD将拾取的脉搏跳动信号转换成电信号,经LM324放大整形后,送到由CD4553和CD4511组成的计数显示电路。CD4553内部输入端设置了脉冲整形电路,所以对脉冲无甚特殊要求。它只有一组BCD 码输出,但通过内部分时控制可形成三位十进制数字显示。CD4511是译码器,其输出驱动三位LED共阴数码管。BG1、BG2、BG3分别由CD4553的15、1、2脚控制实现三位数码管的分时显示。CD4060组成计数闸门设定电路,R5、R6、C6与其内部电路组成振荡器,振荡器信号经内部213次分频后,由2脚输出延时 60 秒的正脉冲加到CD4553的11脚关闭闸门。使用时,用手表带或松紧带将压电陶瓷片压在手腕的挠动脉处,注意一定要压紧。在合上开关 K1 后即按一下复位开关 K2,使CD4060和CD4553清零,这时计数闸门打开,脉搏信号由CD4553进行计数。1分钟后,CD4060输出一高电平,使计数闸门关闭。这时数码管显示的数字即为每分钟的脉搏数。 3·3各框图的功能和可选电路及特点 1)脉冲产生电路:2)放大整形电路:可选LM324 3)计数电路:可选CD4553 4)定时电路:可选CD4060 5)译码显示电路:可选CD4511驱动共阴极数码管。 3·4电路制作所需的工具 3·5元器件列表

人体脉搏计

电子课程设计目录 第一部分电子课程设计题目及要求 1.题目 (1) 2.设计目的 (1) 3.设计内容及要求 (1) 4.脉搏计的基本原理 (1) 第二部分设计方案 1. 提出方案 (2) 2. 方案比较 (3) 第三部分电路设计与分析 (4) 1. 信号发生与采集 (4) 2. 放大电路 (4) 3.有源滤波电路 (5) 4.整形电路 (7) 5.倍频器 (9) 6.基准时间产生电路 (10) 6.1 NE555定时器 (10) 6.2 用555定时器构造施密特触发器 (11) 6.3 用施密特触发器构造多谐振荡器 (12) 7.计数译码器 (13) 7.1 计数电路 (13) 7.2 译码显示 (14) 8.控制电路 (17) 第四部分所用元件及实验心得 (18) 1.元件列表 (18) 2.实验心得 (18) 3.参考文献 (18) 附:总原理图 (19)

第一部分电子课程设计题目及要求 1. 题目人体脉搏计 2.设计目的 2.1熟悉脉搏计电路的组成、工作原理和设计方法。 2.2掌握多谐振荡器、倍频器、计数器、译码器等的工作原理、使用方法、特点、用途及主要参数的计算方法。 2.3熟悉集成电路74LS00、74LS161、CC4518、CC4511、晶闸管、有源滤波电路的特点、用途及主要参数的选择方法。 3.设计内容及要求 3.1设计题目:设计一个脉搏计。 3.2要求:实现在15s内测量1min的脉搏数,并且显示其数字。正常人的脉搏数为60~80次/min,婴儿为90~100次/min,老人为100~150次/min。 3.3放大与整形电路 放大电路:电压放大倍数u A 约为11倍,选R 4 =100 KΩ,C 1 =100μF。试选择其它元 件参数。有源滤波电路:电压放大倍数选用1.6倍左右。运放可均采用LM324,也可选其它型号运放。 整形电路:选用滞回电压比较器,集成运放采用LM339,其电路参数如下:R 10 =5.1KΩ, R 11=100 KΩ,R 12 =5.1 KΩ。 倍频电路:异或门选用可采用CC系列、也可采用TTL系列。基准时间产生电路:试选择电路其它未知参数。 计数、译码、显示电路:试选择电路其它未知参数。 控制电路:试选择电路其它未知参数。 4.脉搏计的基本原理 分析设计题目要求脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分。由给出的设计技术指标可知,脉搏计是用来测量频率较低的小信号(传感器输出电压一般为几个毫安),它的基本功能应该是 ①用传感器将脉搏的跳动转换为电压信号,并加以放大整形和滤波。 ②在短时间内(15s内)测出每分钟的脉搏数。 简单脉搏计的框图如图1所示。 图1.1 脉搏计原理框图

便携式心率测试仪电子系统设计报告

五邑大学 电子系统设计结题报告题目:便携式心率测试仪 院系信息工程学院 专业电子信息工程 学号 学生姓名 指导教师 报告日期2012.12.18

目录 1、摘要 (2) 2、课题研究意义 (2) 2.1.背景 (2) 2.2 设计任务与要求 (2) 3、方案设计说明 (2) 3.1硬件电路原理分析说明 (2) 3.1.1信号放大电路 (2) 3.1.2滤波电路 (3) 3.1.3整形电路 (4) 3.1.4单片机信号处理电路 (4) 3.1.5数码管显示电路 (5) 3.2软件设计 (6) 3.2.1编程环境与开发工具 (6) 3.2.2源程序及注解 (7) 4、调试过程遇到的问题与解决的方法 (9) 5、5、设计总结及体会 (9) 6、参考文献 (9) 7、附录 (10)

1、摘要 本文设计了一种基于STC89C51单片机实现的便携式心率测试仪.接受心率测试检测模块发送的信号并对信号进行检测分析并显示,从而实现心率测试功能。该系统的硬件单元包括信号放大电路、滤波电路、整形电路、单片机控制电路和数码管显示电路。采用了放大电路后,使得采集的脉搏信号放大到整形电路要求的电压幅度。滤波电路消除了干扰,得到特定频率的低频信号。整形电路把模拟信号转换成单片机能够处理的数字信号。单片机内的处理程序将接收到的信号进行监测分析,得出心率值,经单片机I/O口发送给由数码管组成的显示模块显示。 2、课题研究意义 2.1背景 1)健康的重要性不言而喻,越来越多的研究表明心率是健康极其重要的指标。一般人们为了知道 自己的运动或者劳动强度是否超负荷,尤其是老年人、运动员等,他们都得赶到医院而不能实时 测量和预知。为了贯彻党和国家“预防为主”的医疗方针,满足人们能享受基本医疗保健的愿望, 便携式心率测试仪应运而生,也极具市场潜力。 2)心脏病人往往需要经常去医院定期心脏检测,此仪器可以随时将病人的心脏情况记录和保存, 并发送给医生,从而给病人带来便捷也有助于治疗;当心脏类疾病突发时,也可以提前将心脏情 况发送给医生,从而缩短救援时间,提高救援成功率。 2.2设计任务与要求 2.2.1设计任务:设计基于C51单片机的便携式心率测试仪。 2.2.2要求:(1)设计脉搏波放大、滤波、整形电路,实现所采集的脉搏信号的放大、滤波、 整形。 (2)设计单片机电路及处理程序与数码管显示电路,实现心率信号的处理与正 确显示。 3、方案设计说明 3.1硬件电路原理分析说明 3.1.1信号放大电路 作用:将采集的幅度值过小的心率信号放大到足够大的幅值。 原理:电路如图所示:利用运算放大器实现反向比例放大电路。运算放大器在深度负反馈的条件下 工作于线性区,根据“虚短”和“虚断”的概念对以上电路进行分析,可得: 放大器增益Ua=-R17/R16=20 电路采用LM324双极型线性集成放大器,有直流电压增益高(约

心率计 毕业设计论文

摘要 在社会飞速发展的今天,人们的物质文化生活得到了极大的提高,但同时多种疾病威胁着人们的生命;而心脏病的发作又是人们难以预防的突发致命疾病,所以健康也被越来越多的人所重视。本设计要解决的问题就是可以测量心率、预防心脏病等心脏方面疾病的数字心率计。 本设计采用以AT89S52单片机为核心的低成本、高精度、微型化数字显示心率计的硬件电路和软件设计方法。整个电路采用模块化设计,由主程序、预置子程序、信号采集子程序、信号放大处理子程序、显示子程序等模块组成。各探头的信号经单片机综合分析处理,实现心率测量的各种功能。在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。该心率计的原理是用红外光电传感器OPT101接收到人体信号,因人体信号很微弱,所以在电路中设置了双重放大电路(主要芯片:OP07、LM324N)。该信号经放大整形处理后传给A/D转换器实现模拟信号转为数字信号,经过以上处理后,再传给单片机AT89S52计算,计算完后由四位数码管显示出来。 该心率计可以简单的测量出人的心跳和人体体温,基本实现了预定的目标,这将大大减少病人测量心跳和体温的时间。 关键字:心率;测量;单片机AT89S52;转换器

Abstract Today in the rapid development of society, people's material and cultural life has been greatly improved, but also a variety of diseases threatening people's lives; and heart attack is it difficult to prevent sudden fatal disease, so health is also valued by more and more people. The design problem to be solved is that you can measure heart rate, cardiac disease, heart disease and other digital heart rate meter. This design uses to A T89S52 microcontroller core, low-cost, high accuracy, digital display of heart rate meter miniaturization of hardware and software design. The probe by the single chip integrated analysis of signal processing functions to achieve heart rate measurement. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. The principle of the heart rate meter is used to receive infrared photoelectric sensor OPT101 to human signals, the signal is very weak because of the human body, so the circuit is set in the dual amplifier (main chip: OP07, LM324N). The signal passed through enlarged plastic treated A / D converter for analog signals into digital signals, with the above treatment, and then passed to microcontroller AT89S52 calculated, finished up by four digital displayds heart rate, The heart rate meter can easily measure the person's heart rate and body temperature, essentially achieving its stated goals, which will greatly reduce the patients of heart rate and body temperature of the time. Keywords: heart rate;measurement;microcontroller AT89S52;converter

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

心率测试仪的设计

江西工业贸易职业技术学院毕业设计 摘要 随着生物医学工程技术的发展, 医学信号测量仪器日新月异。生物医学测量与临床医学和保健医疗的联系日益紧密。通过对人体各种生理信号的检测,能更好的认识人体的生命现象。脉象包含丰富的人体健康状况信息, 脉诊技术应客观化、定量化。本设计利用光电式传感器, 设计脉搏信号获取的方法。本设计主要是基于单片机的便携式脉搏测试仪的具体实现方法,利用光电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。本设计所设计的基于单片机的便携式心率测试仪对推进脉诊技术客观化的实现具有积极的促进作用。 脉搏;单片机;光电传感器;脉冲信号;便携式关键词: I 江西工业贸易职业技术学院毕业设计 目录 摘要I........................................................................................................................................ .第1章引言....................................................................................................................... 11.1概述. (1)

1.2基于单片机的心率测试仪的发展与应用 (2) 1.3本设计的主要内容 (3) 第2章整体方案分析.................................................................................................... 4. 2.1任务 (4) 2.2要求 (4) 2.3系统的整体方案 (4) 2.4 方案的对比和论证 (4) 2.4.1脉搏检测传感器的选择 (4) 2.4.2单片机的选择 (6) 2.4.3显示部分的选择 (6) 2.5设计时要考虑的问题 (7) 2.5.1环境光对脉搏传感器测量的影响 (7) 2.5.2电磁干扰对脉搏传感器的影响 (7) 2.5.3测量过程中运动噪声的影响 (8) 2.6本章小结 (8) 第3章硬件电路设计分析........................................................................................... 93.1控制 器 (9) 3.1.1AT89S52 (9) 3.1.2AT89S52的特点 (9) 3.1.3AT89S52的结构 (9) 3.2脉搏信号采集....................................................................................................... 12 3.2.1光电传感器的结构及原理 (12) 3.2.2信号采集电路 (13) 3.3信号放大电路....................................................................................................... 13

课程设计————电子心率计的设计

课程设计说明书正文 1:任务分析与方案设计 心率计是用来测量一个人心脏单位时间内跳动次数的电子仪器,由于人体各部位心率一致,所以通常测量人手臂处的脉搏即可测出人体心率。任务要求测出的心率为一分钟内心跳的次数,并显示,测量结果要与标准范围作比较,不在标准范围内则报警。 设计方案为:采用传感器,量脉搏的跳动,出微弱的信号,入放大器中放大;后通过滤波器滤除干扰信号后,将形整形为方波或脉冲信号;将其作为计数控制信号,用基准时间一定的方波作为计数脉冲在一个心跳周期内计数,计数值N 与基准时间T 的乘积就是一次心跳的时间。再对“60/基准时间T ”个脉冲进行N 分频,对分频后的信号计数,其计数值则为本次心率数值。之后计数器计数值输入到显示器中显示,同时,将其输入的频率进行F/V 转换后与标准电压值作比较,若,测量值不在标准值范围内则报警,即LED 灯亮。流程图如下。 2:电路设计,元器件参数计算及选择 2.1:传感器的选择 :

红外线检测原理: 随着心脏的博动,人体组织半透度随之改变,当血液流回心脏,组织半透度增大,这种现象在人体组织较薄的指尖、耳垂等部位最明显。用红外发光二极管产生红外线照射到人体上述部位,并用装在一旁的红外光电管来检测机体组织的透明度并转换成电信号,其信号频率与脉搏频率相对应并且其为低频近似的正弦信号。 TCRT5000(L)具有紧凑的结构发光灯和检测器安排在同一方向上,利用红外光谱反射对象

电压跟随器的显著特点就是,输入阻抗高,而输出阻抗低,一般来说,输入阻抗要达到几兆欧姆是很容易做到的。输出阻抗低,通常可以到几欧姆,甚至更低。 在电路中,电压跟随器一般做缓冲级及隔离级。因为,电压放大器的输入阻抗一般比较高,通常在几千欧到几十千欧,如果后级的输出阻抗比较小,那么信号就会有相当的部分损耗在前级的输出电阻中。在这个时候,就需要电压跟随器来从中进行缓冲。起到承上启下的作用。应用电压跟随器的另外一个好处就是,提高了输入阻抗,这样,输入电容的容量可以大幅度减小,为应用高品质的电容提供了前提保证]1[。 仿真图: 黄色信号(下)为输入信号。 蓝色信号(上)为输出信号。 由图中可以看出,输入输出信号基本相等。 2.3:放大电路的设计 传感器输出为微弱信号,需进行放大后才便于后续电路的处理。考虑到后续电路中滤波器电路也具有信号放大的功能,所以放大器的放大倍数不宜过大,初 步选择为660倍。设计电路的原理如下:

数电脉搏计数器电路课程设计

烟台南山学院 数字电子技术课程设计题目脉搏计数电路设计 姓名:___ XXXXXX ___ 所在学院:_工学院电气与电子工程系 所学专业:_ 自动化 班级:___电气工程XXXX 学号:___XXXXXXXXXXXXXX 指导教师:_____ XXXXXXXX ___ 完成时间:____ XXXXXXXXXXXXX

数电课程设计任务书 一、基本情况 学时:40学时学分:1学分适应班级:12电气工程 二、进度安排 本设计共安排1周,合计40学时,具体分配如下: 实习动员及准备工作:2学时 总体方案设计:4学时 查阅资料,讨论设计:24学时 撰写设计报告:8学时 总结:2学时 教师辅导:随时 三、基本要求 1、课程设计的基本要求 数字电子技术课程设计是在学习完数字电子课程之后,按照课程教学要求,对学生进行综合性训练的一个实践教学环节。主要是培养学生综合运用理论知识的能力,分析问题和解决问题的能力,以及根据实际要求进行独立设计的能力。初步掌握数字电子线路的安装、布线、焊接、调试等基本技能;熟练掌握电子电路基本元器件的使用方法,训练、提高读图能力;掌握组装调试方法。其中理论设计包括总体方案选择,具体电路设计,选择元器件及计算参数等,课程设计的最后要求是写出设计总结报告,把设计内容进行全面的总结,若有实践条件,把实践内容上升到理论高度。 2、课程设计的教学要求 数字电子技术课程设计的教学采用相对集中的方式进行,以班为单位全班学生集中到设计室进行。做到实训教学课堂化,严格考勤制度,在实训期间累计旷课达到6节以上,或者迟到、早退累计达到8次以上的学生,该课程考核按不及格处理。在实训期间需要外出查找资料,必须在指定的时间内方可外出。 课程设计的任务相对分散,每3名学生组成一个小组,完成一个课题的设计。小组成员既有分工、又要协作,同一小组的成员之间可以相互探讨、协商,可以互相借鉴或参考别人

相关主题
文本预览
相关文档 最新文档