当前位置:文档之家› FPGA实现嵌入式系统

FPGA实现嵌入式系统

FPGA实现嵌入式系统
FPGA实现嵌入式系统

FPGA实现嵌入式系统

摘要:在许多领域中广泛应用的嵌入式计算系统(简称为嵌入式系统),是在更大的电子器件中嵌入的重复完成特定功能的计算系统,它经常不被器件的使用者所识别,但在各种常用的电子器件中能够找到这些嵌入式系统。以可编程门阵列(FPGA)来实现可配置的嵌入式系统已越来越广泛,本文主要详细介绍了以FPGA实现嵌入式系统的前景。

关键词:嵌入式;FPGA;嵌入式操作系统。

FPGA Embedded Systems

LI Bo

(College of Electrical and Electronic Engineering,Wuhan Institute of Technology,Wuhan

430200,China)

Abstract:In many embedded computing systems widely used in the field(referred to as embedded systems),is an electronic device in larger repeat embedded computing system to accomplish a specific function,it is often not recognized by the user of the device,but in a variety of conventional electronic devices can be found in these embedded systems.Programmable gate array(FPGA)to implement a configurable embedded systems has become increasingly widespread,this paper introduces the prospect to FPGA implementation of embedded systems.

Key words:Embedded;FPGA;Embedded operating system.

1引言

随着计算与通信的融合以及广泛的多媒体处理需求,嵌入式系统得到了前所未有的蓬勃发展。嵌入式系统是以专用芯片为核心的专用系统,其特点是面向用户、面向应用、面向产品,软、硬件量体裁衣,满足行业应用个性化的要求,而这也是FPGA器件的特点。因此基于FPGA的可配置嵌入式系统开发技术以及相应的片上可编程系统(SOPC)解决方案,不仅可融入微处理器技术、数字信号处理技术、可编程系统级芯片设计和软硬件协同设计技术,还能提供了基于嵌入式智能平台的嵌入式系统的设计方法,还降低了设计难度、缩短了研发周期,必将成为未来的主流趋势之一。

从系统对上市时间的要求、可定制特性以及集成度等方面考虑,FPGA在嵌入式系统中获得广泛应用,已经从早期的军事、通信系统等应用扩展到低成本消费电子类等产品中。目前,FPGA在嵌入式系统中主要有3种使用方式:(1)状态机模式:无外设、无总线结构且无实时操作系统,达到最低的成本,常应用于VGA和LCD控制等,满足用户的最基本需求。

(2)单片机模式:包括一定的外设,可以利用实时操作系统和总线结构,以中等的成本,达到中等的性能,常用于控制和仪表。

(3)定制嵌入模式:高度集成扩充的外设,实时操作系统和总线结构,可达到高性能,常应用于网络和无线通信等。

2FPGA实现嵌入式系统的优势

嵌入式嵌入式系统经历了从单片计算机、工业控制计算机、集中分布式控制系统,进而发展到嵌入式智能平台的几个发展阶段。从独立单机使用发展到联

网设备。从以模拟电路为主发展到以数字电路为主、数模混合型,进而进入全数字时代。总的来说,嵌入式系统向着更高性能、更小体积、更低功耗、更廉价、无处不在的方向发展。

基于FPGA的可配置嵌入式系统开发技术以及相应的片上可编程系统(SOPC)解决方案,不仅可融入微处理器技术、数字信号处理技术、可编程系统级芯片设计和软硬件协同设计技术,还能提供了基于嵌入式智能平台的嵌入式系统的设计方法,还降低了设计难度、缩短了研发周期,必将成为未来的主流趋势之一。

从系统对上市时间的要求、可编程的特性以及集成度等方面考虑,以可编程门阵列(FPGA)来实现可配置的嵌入式系统已越来越广泛。图1表示FPGA实现嵌入式系统的市场预测,估计从2002年的23亿美元,将增长到2008年的63亿美元。

图1FPGA实现嵌入式系统的市场预测

采用90纳米工艺生产FPGA器件之后,FPGA器件进一步降低成本,减少功耗和提高性能,低成本使FPGA成为中小批量生产的应用器件,应用范围从早期的军事、通信系统等扩展到低成本消费电子类等产品。目前在实现嵌入式系统的各个领域得到广泛的应用,并进一步带来设计方法的变化。

3FPGA DSP嵌入式系统

FPGA是极高并行度的信号处理引擎,能够满足算法复杂度不断增加的应用要求,通过并行方式提供极高性能的信号处理能力。Xilinx的XtrerneDSP模块,如图3所示,使得Virtex4/Sparten3系列厂PGA可以为高性能的数字信号处理提供理想的解决方案,达到传统上由ASIC或ASSP完成的高性能信号处理能力。可以针对数字通信和视频图象处理等应用开发高性能的DSP引擎,也可在可编程DSP系统中作为预处理器或协处理器等。Vir-tex4SX系列中DSP48模块最多达到512个,工作频率达到500MHz,成为算术密集应用的理想器件。

DSP48模块是一个18×18位二进制补码乘法器,跟随一个48位符号扩展的加法器/减法器/累加器,适应DSP应用中的众多的功能。提高了操作数输入、中

间积和累加器输出的可编程流水线操作,以及48位内部总线等的吞吐量和适应性,无需一般的结构布线就可以实现前一个DSP48的输出与后一个DSP48输入的级联,增强了它的功能。

在复杂算法的数字处理系统中,系统要求的不断提高和集成规模的不断扩大,使得系统结构在设计的开始阶段是不明确的,不可能直接用RTL(寄存器转移级)设计方法进行描述,所以将系统集成到数字芯片中。

图2所示的DSP设计环境可以进行系统的数学建模,算法优化和改进,设计校验和诊断,以及日DL产生和仿真等。涉及Xilinx的ISE硬件实现工具和嵌入系统开发包EDK,以及片内逻辑分析工具(CChipScope Pro)等。第三方的工具包括MATLAB/SimuLink和ModeISim等,可以进行HDL协同仿真和硬件在环路的协同仿真等,一旦设计优化完成和仿真通过,可以直接利用IP核的网表文件进行硬件实现,设计的效率和可靠性大大提高。

图2DSP设计环境进行系统的数学建模

4FPGA嵌入微处理器系统

Xilinx FPGA的Virtex4和Virtexll-Pro系列嵌入7IBM PowerPC405的RISC 微处理器硬核,而Virtex和Spanten II之后的所有系列都可以嵌入MicroBlaze软核。

嵌入的PPC405硬核和MicroBlaze软核都是32位的哈佛结构微处理器。

PPC405硬核包括cache单元、存储器管理单元(MMU)、指令获取译码单元、执行单元、定时器和诊断逻辑单元等,如图3所示,指令的操作包括获取、译码、执行、写回和加载回写五级流水组成。包括加载和存储等大多数指令都是一个时钟周期内执行。

图3指令的操作

PPC405处理器模块是与CoreConnect总线结构相兼容的,包括Xilinx IP软核在内的任何CoreConnect兼容核都可以通过这个高性能总线结构与处理器模块集成。CoreConnect结构提供处理器局部总线(PLB)、片内外设总线(OPB)和器件控制寄存器(DCR)总线,利用这三个总线来互联处理器模块、Xilinx I 户软核、第三方IP核和定制的逻辑。高性能外设连接到高带宽低滞后的PCB总线,较慢速的外设连接到OPB总线,可以减少PLB总线的流量,提高整个系统性能。图4给出PPC405和MicroBlaze的总线例子。

图4给出PPC405和MicroBlaze的总线例子。

6结论

在复杂算法的嵌入式系统系统中,系统的要求不断提高,集成规模不断扩大,使得系统的结构在设计的开始阶段是不明确的,不可能直接用RTL(寄存器转移级)设计方法进行描述,也就无法将系统集成到数字芯片之中。

随着FPGA的成本不断降低,FPGA实现嵌入式系统达到嵌入式系统系统的特性和可编程的要求具有明显的优越性,市场潜力很大。

参考文献

[1]Frank Vahid,Tony Givargis.Embedded System Design:A Unified Hardware/Software

Introduction.2002

[2]FPGA在嵌入式系统中应用不断走强.电子工程专辑一嵌入系统特刊,2005,3

[3]Ken Karnofsky.Simulink Brings Model—Based Design to Embedded Signal

Processing.Xcelljournal,2004

[4]Rodriguez J,Bernet S,Wu B,et al.Multilevel voltage-source-converter topologies for

industrial medium-voltage drives[J].IEEE Trans.on Industrial Electronics,2007,54(6):2930-2945.

基于FPGA的嵌入式技术

基于FPGA的嵌入式技术 “嵌入式系统是一个面向应用、技术密集、资金密集、高度分散、不可垄断的产业,应用在通信、航空航天、消费类电子产品等各种领域中。”随着经济的发展,各领域对嵌入式产品的应用需求呈现多样化,嵌入式系统设计技术和芯片技术也不断革新。传统设计ASIC的成本很低,但设计周期长、上市时间晚、风险较大。基于FPGA的嵌入式系统设计可以缩短设计周期,加快上市时间,抢占市场先机。 1、概述 现场可编程门阵列FPGA(Field-Programmable Gate Array)是由复杂可编程逻辑器件CPLD(Complex-Programmable Logical Device)发展而来。其功能强大,设计灵活。设计性能能够与ASIC媲美。而且,性能价格比也可以与ASIC抗衡。因此,FPGA在嵌入式系统设计领域越来越重要。 FPGA的基本结构由以下几个部分:CLB(Configurable Logic Blocks)、IOB (Input/Output Blocks)和PI(Programmable Interconnection)。随着工艺的进步和应用需求,一般在FPGA中还包含以下可选结构:Memory、数字时钟管理单元、Select I/O、乘法器和加法器、硬IP核和微处理器等。随着FPGA性能提高和设计人员能力提高,FPGA将进一步扩大可编程芯片领地,使专用芯片更高端和超复杂。[1] 2、可编程片上系统(SOPC) 可编程片上系统(SOPC)是一种特殊的嵌入式系统。片上是指由单个芯片完成整个系统的主要逻辑功能;可编程使其具有灵活的设计方式,可以裁剪、扩充、升级。并且,SOPC结合了SOC和FPGA各自的优点,具备软硬件在系统可编程的功能。 SOPC至少包含一个嵌入式处理器内核,具有小容量片内高速RAM,一部分IP Core(简称IP),大量的片上可编程逻辑,处理器调试接口和FPGA编程接口等。SOPC设计技术涵盖了嵌入式系统设计技术的全部内容。包含以处理器和实时多任务操作系统为中心的软件设计技术、以PCB和信号完整性分析为基础的电路设计技术及软硬件协同设计技术。[2] 3、IP资源复用理念与IP Core设计 IP资源复用是指在集成电路设计中,通过继承、共享或购买所需的知识产权内核,利用EDA工具进行设计、综合和验证,加速流片设计过程,降低开发风险。IP核复用技术已逐渐成为现代ASIC设计的重要手段,不仅应用于专用集成电路设计,也广泛使用于基于FPGA的嵌入式系统设计领域。设计师倾向于使用IP内核保持和提高产量。

基于FPGA的软核处理器在嵌入式中的运用

基于FPGA的软核处理器在嵌入式中的运用 随着一些ASIC 应用开发日益受到成本的困扰,OEM日渐转向FPGA 来构建自己的系统。这些系统中绝大多数需要一个处理器为了给设计者提供一个为FPGA 优化的灵活的嵌入式处理器方案,满足16位和32位嵌入式处理器市场的需求,Altera公司公司推出Nios II 系列32位RSIC嵌入式处理器。这是Altera的第二代软核嵌入式处理器,性能超过200DMIPS,在Altera FPGA 中实现仅需35美分。因为Nios II处理器是软核,因此开发者能够从无限的系统配置组合中选择满足性能和成本目标的方案,而不必为系统级设计考虑采用ASIC。 与此同时赛灵思公司(Xilinx,Inc.)宣布推出Virtex?-5 FXT 器件。这些FPGA 器件在业界率先集成了嵌入式PowerPC?440处理器模块、高速RocketIO?GTX收发器和专用XtremeDSP?处理能力。作为65nm Virtex-5系列的第四款平台, Virtex-5 FXT提供了极高的性能,还可帮助设计人员降低系统成本、缩小板尺寸并减少元件数量。在赛灵思公司以及业界领导厂商提供的逻辑、嵌入式和DSP开发工具以及IP内核的支持下,Virtex-5 FXT FPGA为有线和无线通信、音频/视频广播设备、军事、航空航天、工业系统以及其它众多应用提供了一个终极系统集成平台。 从FPGA两大主要生产公司的设计方向上我们可以看出,未来的嵌入式发展将向基于软核处理器的FPGA发展,也就是常称之为的SoPC(可编程片上系统Sysein on a Programmable Chip)设计思想。基于FPGA 的SoPC 具有设计灵活、可裁减、可配置、可扩充、可升级的特点,并具备软硬件在系统可编程的功能。我们借助强大得EDA 工具,在设计嵌入式系统时,不仅可以实现软件的可裁减性,同样可以实现硬件的可裁减性,并且可以自主定义处理器的引脚,方便PCB板布线,同样方便更改设计。 Altera 的Nios 处理器和Stratix FPGA 是其Maestro 平台的核心,它具有的核心功能提供了前所未有数据为中心的功能。能够用于任何需要32位嵌入式处理器的应用。据介绍,Nios II 系列包括三种软CPU 核,一个是最大系统性能,一个是为最少逻辑使用量优化的,还有一个是二者之间的平衡。所有核都是100% 代码兼容,让设计者根据系统需求变化改变C P U ,而不会影响现有的软件投入。Nios II系列是建立在前一代成功的基础之上,以部分的资源提供高得多的性能。 另外,Nios II处理器具有健全的软件开发套件,包括编译器、集成开发环境(IDE ),JTAG 调试器,实时操作系统(RTOS)和TCP/IP 协议栈。Nios II嵌入式处理器结合Altera的低成本Cyclone 系列和高性能StratixII 系列FPGA 和HardCopy 结构化ASIC系列,在价格、性能和功能上具有很高的灵活性。Lytle先生认为,Nios II系列增加了Altera 在嵌入式处理器市场上的机会。当第一代Nios 处理器为Altera 开辟了新的应用和市场之门时,Nios II嵌入式处理器系列有望增加公司在16位和32位嵌入式处理器市场上的机会。从使用Altera 低成本Cyclone FPGA 系列的探鱼器和引擎测试仪到使用高性能Stratix系列的视频处理和高级通信系统,Altera的软核嵌入式处理器已经成为新应用中使用可编程逻辑的推动力。 在单片器件上集成重要处理性能和SERDES元件,可为那些需要节约板级空间和成本、同时又需要满足高性能要求的设计人员提供巨大的价值。例如,在无线应用中,Virtex-5

基于FPGA的嵌入式系统毕业论文课程设计

目录 1 NiosⅡ CPU的体系结构3 NiosⅡ处理器的结构 (3) NiosⅡ处理器的基本组成 (3) Debug模块 (3) NiosⅡ开发环境简介 (3) 2 IP核4 SDRAM控制器 (4) 3 基于SOPC的温湿度监测系统设计5 系统总体设计方案 (5) SOPC硬件系统设计 (6) SOPC软件系统设计 (9) NiosⅡ软件系统设计 (9) NiosⅡ IDE C/C++Build属性配置 (13) 软件系统的设计流程 (15) 4 实验结果与分析15结论18

SOPC是可编程片上系统,即一种特殊的嵌入式系统。首先它是片上系统(SOC),由单个芯片完成整个系统的主要逻辑功能;其次,它是可编程系统,具有灵活的设计方式,可裁减、可扩充、可升级,并具备软硬件在系统可编程的功能。SOPC是基于FPGA解决方案的SOC,与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色。构成SOPC的途径有基于FPGA嵌入IP硬核的系统、基于FPGA嵌入IP软核的系统和基于HardCopy 技术的SOPC系统三种方式。本文介绍基于FPGA的嵌入IP软核的SOPC系统实现方法,设计了一种基于SOPC的温湿度监测系统。通过Quartus II 软件里的SOPC builder把Nios II Processor、Avalon总线、UART、SDRAM_controller、Flash Memory、Avalon三态桥等多个IP核集成生成系统所需的SOPC。传感器扩展板采用Mega8作为主控芯片,用于数据的采集、显示以及和PC的通信。同时配有由SPI总线控制的数码管,可以显示传感器的测量结果,以及与PC通信过程中的具体情况。对外采用波特率为115200的串口进行通信,用户可通过串口向该模块发出各种查询命令以查询传感器的状态。本次设计使用NiosII IDE编写应用程序,发送相应指令,获取温度和湿度的值,同时显示在Console窗口。 关键词: SOPC技术;FPGA开发板;IP核;温湿度监测;NiosⅡ处理器;Mega8芯片

基于fpga的嵌入式系统设计——复习题

《基于fpga的嵌入式系统设计》复习题 1、名词概念解释: (1)ASIC,FPGA,SOC,SOPC,NIOS II,I/O ,IP ; (2)VHDL,verilog HDL,HDL,EDA ; (3)功能仿真,后仿真,设计综合,设计验证; (4)嵌入式系统的定义: 以应用为中心、以计算机技术为基础、软硬件可裁剪、适应应用系统对功能、 可靠性、成本、体积、功耗等严格要求的专用计算机系统。 (5)嵌入式系统的组成: 嵌入式系统主要由嵌入式处理器、外围设备、嵌入式操作系统及应用软件等组 成,它是集软硬件于一体的可独立工作的“器件”。其中:嵌入式处理器是嵌入 式系统的核心部件,具有小型化、高效率、高可靠性、高集成度等特点。外围 设备是嵌入式系统中用于完成存储、通信、调试、显示等辅助功能的部件。 2、填空题 (1)NiosII处理器有三种运行模式:___________ ,___________ ,_____________ 。(2)CycloneII FPGA支持串行配置器件的isp编程,该特性是通过_____________利用JTAG接口实现的。 (3)在SOPC Builder中,复位地址的偏移量是________,异常地址的偏移量是________。(4)在NiosII的多处理器系统中,最常用的共享资源是____________。 (5)根据Flash是否支持处理器的直接读操作,NiosII处理的bootloader分成两种模式:________________bootloader、________________ bootloader。 (6)用uC/OS-II操作系统实现以太网与轻量IP功能的时候,以太网的中断号至少是____________。 (7)Altera公司的FPGA常用的配置方式: JTAG方式、___________、___________。(8)CycloneII FPGA上面集成的Block RAM为M4K,一个M4K的大小是________。(9)使用QuartusII进行FPGA设计的开发流程是:设计输入、__________、___________、仿真、_________ 。 (10)NiosII IDE为软件开发提供了4个主要功能:工程管理器、编辑器和编译器、调试器、____________ 。 (11)SOPC组件On-chip Memory可以用作RAM外,还可以设置成___________,甚至可以设置成双口存取。 (12)CycloneII EP2C35器件包含4个PLL,每个PLL均有_________个输出。其中第_________个输出的驱动能力最强。

基于FPGA的嵌入式系统

1 NiosⅡ CPU的体系结构3 1.1 NiosⅡ处理器的结构 (3) 1.2 NiosⅡ处理器的基本组成 (3) 1.3 Debug模块 (3) 1.4 NiosⅡ开发环境简介 (3) 2 IP核4 2.1 SDRAM控制器 (4) 2.2FLASH (5) 3 基于SOPC的温湿度监测系统设计5 3.1 系统总体设计方案 (5) 3.2 SOPC硬件系统设计 (6) 3.3 SOPC软件系统设计 (9) 3.3.1 NiosⅡ软件系统设计 (9) 3.3.2 NiosⅡIDE C/C++Build属性配置 (13) 3.3.3 软件系统的设计流程 (15) 4 实验结果与分析15结论18

SOPC是可编程片上系统,即一种特殊的嵌入式系统。首先它是片上系统(SOC),由单个芯片完成整个系统的主要逻辑功能;其次,它是可编程系统,具有灵活的设计方式,可裁减、可扩充、可升级,并具备软硬件在系统可编程的功能。SOPC是基于FPGA解决方案的SOC,与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色。构成SOPC的途径有基于FPGA嵌入IP硬核的系统、基于FPGA嵌入IP软核的系统和基于HardCopy 技术的SOPC系统三种方式。本文介绍基于FPGA的嵌入IP软核的SOPC系统实现方法,设计了一种基于SOPC的温湿度监测系统。通过Quartus II 软件里的SOPC builder把Nios II Processor、Avalon总线、UART、SDRAM_controller、Flash Memory、Avalon三态桥等多个IP核集成生成系统所需的SOPC。传感器扩展板采用Mega8作为主控芯片,用于数据的采集、显示以及和PC的通信。同时配有由SPI总线控制的数码管,可以显示传感器的测量结果,以及与PC通信过程中的具体情况。对外采用波特率为115200的串口进行通信,用户可通过串口向该模块发出各种查询命令以查询传感器的状态。本次设计使用NiosII IDE编写应用程序,发送相应指令,获取温度和湿度的值,同时显示在Console窗口。 关键词: SOPC技术;FPGA开发板;IP核;温湿度监测;NiosⅡ处理器;Mega8芯片

基于FPGA的嵌入式Linux软硬件设计概要

基于FPGA的嵌入式Linux软硬件设计 摘要:实现了一种全集成可变带宽中频宽带低通滤波器,讨论分析了跨导放大器-电容(OTA—C)连续时间型滤波器的结构、设计和具体实现,使用外部可编程电路对所设计滤波器带宽进行控制,并利用ADS软件进行电路设计和仿真验证。仿真结果表明,该滤波器带宽的可调范围为1~26 MHz,阻带抑制率大于35 dB,带内波纹小于0.5 dB,采用1.8 V电源,TSMC 0.18μm CMOS工艺库仿真,功耗小于21 mW,频响曲线接近理想状态。关键词:Butte FPGA是通过逻辑组合电路来实现各种功能的器件。由于FPGA内部集成了大量的逻辑资源和可配置的I/O引脚,加上独特的并行处理架构,可以轻松实现同时对多个外部设备的配置和管理,以及内外各种接口数据的传输。现在开发厂商又在FPGA 内部加入了大量的DSP和Block RAM资源,非常适合图像处理、数字信号处理等运算密集的应用,因此在这些领域取得了广泛的应用。但是由于FPGA 程序编写的灵活性和功能的多样性,使得它在一个复杂工程中对各个程序的使用调度、统筹管理上有很大的局限性,这样就必须引入操作系统进行统一的管理。Linux 系统则因为其良好的可裁减、可配置等特点在嵌入式领域应用广泛。 Linux操作系统提供了许多系统级的应用,例如网络协议的实现、进程调度、内存管理等,同时Linux 是一个成熟的开源操作系统,有丰富的应用资源,利用这些资源和强大的系统功能,用户可以快速地开发基于嵌入式环境复杂系统。因此,结合FPGA和Linux双方优势,可以很好地满足嵌入式系统设计需求,量体裁衣,去除冗余。本文给出了一种基于Xilinx FPGA的嵌入式Linux操作系统解决方案。 基于FPGA的嵌入式系统的硬件设计 本设计是基于Xilinx XC4VFX40系列 FPGA,它内部集成了两个PowerPC405处理器, 4个10/100/1000M以太网MAC模块,运行频率300MHz时,具有420D-MIPS性能,能解决高速网络数据传输问题,并且能解决通过网络加载操作系统和交叉编译等问题。它内部有448个可配置I/O口,2592kb BlockRAM,能实现对各种外部设备的并行控制以及较多数据的存储与处理。加载一个操作系统,一般需要几十兆的内存空间,FPGA内部自带的RAM空间是远远不够的,本设计在板上扩展了两片MICRON公司的256Mb DDR内存,作为上电时操作系统的加载和运行空间。现在主流的嵌入式操作系统,都需要搭建交叉编译环境,把在主机上编写好的可执行文件下载到目标板上,这就需要实现网络数据的传输。由于XC4VFX40 自带了以太网MAC模块,只需要在外面添加个PHY芯片和带隔离器的RJ45接口就能实现这个功能。本设计由于对网络数据实时性要求很高,因此采用Marvell公司的千兆以太网PHY芯片88E1111-RCJ。它能根据自身配置和主机设计,实现10/100/1000M自适应传输,并且Linux本身对这个芯片提供了驱动支持,实现无缝链接。操作系统加载到DDR 中能快速有效的运行,但是掉电就会丢失,因此必须加入FLASH芯片,把系统文件存储到外部FLASH中。加电时,FPGA把操作系统文件从FLASH读入到 DDR中运行。FPGA设计当然会

基于fpga的嵌入式系统设计——复习题

基于fpga的嵌入式系统设计——复习题

————————————————————————————————作者: ————————————————————————————————日期:

《基于fpga的嵌入式系统设计》复习题 1、名词概念解释: (1)ASIC,FPGA,SOC,SOPC,NIOS II,I/O ,IP ; (2)VHDL,verilog HDL,HDL,EDA ; (3)功能仿真,后仿真,设计综合,设计验证; (4)嵌入式系统的定义: 以应用为中心、以计算机技术为基础、软硬件可裁剪、适应应用系 统对功能、可靠性、成本、体积、功耗等严格要求的专用计算机系 统。 (5)嵌入式系统的组成: 嵌入式系统主要由嵌入式处理器、外围设备、嵌入式操作系统及应 用软件等组成,它是集软硬件于一体的可独立工作的“器件”。其中: 嵌入式处理器是嵌入式系统的核心部件,具有小型化、高效率、高 可靠性、高集成度等特点。外围设备是嵌入式系统中用于完成存储、 通信、调试、显示等辅助功能的部件。 2、填空题 (1)NiosII处理器有三种运行模式: ___________ ,___________ ,_____________。 (2)CycloneII FPGA支持串行配置器件的isp编程,该特性是通过_____________利用JTAG接口实现的。 (3)在SOPCBuilder中,复位地址的偏移量是________,异常地址的偏移量是________。 (4)在NiosII的多处理器系统中,最常用的共享资源是____________。 (5)根据Flash是否支持处理器的直接读操作,NiosII处理的bootloader分成两种模式:________________bootloader、________________ bootloader。(6)用uC/OS-II操作系统实现以太网与轻量IP功能的时候,以太网的中断号至少是____________。 (7)Altera公司的FPGA常用的配置方式: JTAG方式、___________、___________。 (8)CycloneII FPGA上面集成的Block RAM为M4K,一个M4K的大小是________。 (9)使用QuartusII进行FPGA设计的开发流程是:设计输入、__________、___________、仿真、_________ 。

基于FPGA的嵌入式系统课程设计题目及要求

一、课程设计要求 1、课程设计要求所有题目采用Quartus II 工具提供的图形输入或者VerilogHDL语言输入方式作为电路设计工具,在NiosII上采用C语言实现编程,自定向下正向设计方法,先设计硬件系统,再进行软件编程,能够生成正确的FPGA下载代码和NiosII执行的软件代码。硬件功能仿真和时序仿真采用第三方工具(建议为:modelsim),综合与布局布线工具为:Quartus II,SOPC Builder建立软件运行环境,具体要求为: 1)根据课设题目,进行总体设计方案;(10分) 2)硬件电路顶层设计、模块划分、引脚定义;(10分) 3)电路设计及NiosII设计,提交电路设计源代码或电路图;(10分) 4)综合与布局布线,提交综合与布局布线报告(10分) 5)FPGA下载代码和引脚分布;(10分) 6)软件总体设计及画出流程图;(10分) 7)程序设计,提交程序代码;(10分) 8)程序编译下载及仿真调试;(10分) 2、课程设计题目共6个,要求每个同学独立完成其中的一个: 要求学号为1、7、13、19、25、31的同学做1号题目; 要求学号为2、8、14、20、26、32的同学做2号题目; 要求学号为3、9、15、21、27、33的同学做3号题目; 要求学号为4、10、16、22、28、34的同学做4号题目; 要求学号为5、11、17、23、29、35的同学做5号题目; 要求学号为6、12、18、24、30、36的同学做6号题目。 3、题目要求独立完成,设计和报告如有雷同,将一个成绩平均分配雷同的同学。 4、时间、地点 时间:8:00 ——14:00, 5、全勤且提交课程设计报告及心得体会者记20分,课程设计时间段内任意时间点名未到(吃饭时间12:00 ——12:30;18:00 ——18:30除外)、迟到、玩游戏扣除:3分/次,6次及6次以上者课程设计成绩直接记:不及格。 实验使用平台:DE2实验板

相关主题
文本预览
相关文档 最新文档