当前位置:文档之家› 基于matlab的FIR低通,高通,带通,带阻滤波器设计

基于matlab的FIR低通,高通,带通,带阻滤波器设计

基于matlab的FIR低通,高通,带通,带阻滤波器设计
基于matlab的FIR低通,高通,带通,带阻滤波器设计

北京师范大学

课程设计报告

课程名称: DSP

设计名称:FIR 低通、高通带通和带阻数字滤波器的设计姓名:

学号:

班级:

指导教师:

起止日期:

课程设计任务书

学生班级: 学生姓名: 学号:

设计名称: FIR 低通、高通带通和带阻数字滤波器的设计 起止日期: 指导教师:

设计目标:

1、采用Kaiser 窗设计一个低通FIR 滤波器 要求:

采样频率为8kHz ;

通带:0Hz~1kHz ,带内波动小于5%; 阻带:1.5kHz ,带内最小衰减:Rs=40dB 。

2、采用hamming 窗设计一个高通FIR 滤波器 要求:

通带截至频率wp=rad π6.0, 阻带截止频率ws=rad π4.0,

通带最大衰减dB p 25.0=α,阻带最小衰减dB s 50=α

3、采用hamming 设计一个带通滤波器

低端阻带截止频率 wls = 0.2*pi ;

低端通带截止频率 wlp = 0.35*pi ; 高端通带截止频率 whp = 0.65*pi ; 高端阻带截止频率 whs = 0.8*pi ;

4、采用Hamming 窗设计一个带阻FIR 滤波器 要求:

通带:0.35pi~0.65pi ,带内最小衰减Rs=50dB ; 阻带:0~0.2pi 和0.8pi~pi ,带内最大衰减:Rp=1dB 。

FIR 低通、高通带通和带阻数字滤波器的设计 一、 设计目的和意义

1、熟练掌握使用窗函数的设计滤波器的方法,学会设计低通、带通、带阻滤波器。

2、通过对滤波器的设计,了解几种窗函数的性能,学会针对不同的指标选择不同的窗函数。

二、 设计原理

一般,设计线性相位FIR 数字滤波器采用窗函数法或频率抽样法,本设计采用窗函数法,分别采用海明窗和凯泽窗设计带通、带阻和低通。

如果所希望的滤波器的理想频率响应函数为)(jw d e H ,如理想的低通,由信号系统的知识知道,在时域系统的冲击响应h d (n)将是无限长的,如图2、图3所示。

H d (w)

-w c w c

图2

图3

若时域响应是无限长的,则不可能实现,因此需要对其截断,即设计一个FIR 滤波器频率响应∑-=-=1

0)()(N n jwn jw

e n h e H 来逼近)(jw d e H ,即用一个窗函数w(n)来截断

h d (n),如式3所示:

)()()(n w n h n h d =

(式1)。

最简单的截断方法是矩形窗,实际操作中,直接取h d (n)的主要数据即可。

)(n h 作为实际设计的FIR 数字滤波器的单位脉冲响应序列,其频率响应函数为:

∑-=-=1

)()(N n jwn jw

e n h e H

(式2)

令jw e z =,则

∑-=-=1

0)()(N n n z n h z H

(式3),

式中,N 为所选窗函数)(n w 的长度。

如果要求线性相位特性,)(n h 还必须满足:

)1()(n N h n h --±= (式6),根据式6中的正、负和长度N 的奇偶性又将线性

相位FIR 滤波器分成四类。要根据所设计的滤波器特性正确选择其中一类。例如:要设计线性相位低通特性,可选择)1()(n N h n h --=类。

三、 详细设计步骤

s S f T Ω=Ω=ω

(公式4)

表1

窗函数过滤带宽/(2π/N )阻带最小带宽

海明窗 3.3-53凯泽窗

5

-80

1、采用Kaiser 窗设计一个低通FIR 滤波器

要求:

采样频率为8kHz ;

通带:0Hz~1kHz ,带内波动小于5%; 阻带:1.5kHz ,带内最小衰减:Rs=40dB

思路分析:

根据公式4可以得到通带截止频率p ω为0.25π,阻带截止频率s ω为0.375π。根据表1可算得ω

π

?=

10N ,则凯泽窗的时域表达式可以通过n ω=kaiser(N)得到。低通滤波器的时域表达式是()()()()

?-?-=

n pi n n h dn ωsin ,其中

?应该关于

2

1

-N 对称。这样,滤波器就得到了为:()()n dn d n h n h ω=。最后利用函数freqz 得到加窗后的滤波器的幅频响应和相频响应。

2、采用Hamming 窗设计一个高通线性相位FIR 滤波器

要求:设计用窗函数法设计线性相位高通滤波器,要求截至频率wp=rad π6.0,阻带截止频率ws=rad π4.0,通带最大衰减dB p 25.0=α,阻带最小衰减dB s 50=α。有如下公式计算高通滤波器的通带截止频率以及阻带截止频率:

s p p F f w /2π= (1)

s s s F f w /2π= (2)

)1(log 2010p P δα+= (3)

s s δα10log 20-= (4)

分析:根据设计要求给出的高通滤波器的性能指标以及(1) (2) (3) (4)公式计算得出该高通滤波器性能指标的另一种表示为:通带偏差 =p δ0.0292 阻带偏差 =s δ0.0032 通带边沿频率 =p f 1000 KHZ 阻带边沿频率 =s f 600 KHZ

选择窗函数W(n),计算窗函数长度N ,由已知条件知:阻带最小衰减dB s 40=α

参照表(1)可知汉宁窗和哈明窗都满足要求。我选择的窗函数是汉宁窗。 过渡带宽度π2.0=-≤s p t w w B 汉宁窗的精确过度带宽N B t /2.6π= 故要求ππ2.0)/2.6(≤=N B t ,

解得:31≥N

又根据前面分析的四种类型的FIR 滤波器的可知,对于高通滤波器,N 必须取奇数, 故 N=31

与汉宁窗函数的可以得知 )()]12

cos(

1[5.0)(31n R n

n w π-=

3、采用Hamming 窗设计一个带通线性相位FIR 滤波器

要求:低端阻带截止频率 wls = 0.2*pi ;

低端通带截止频率 wlp = 0.35*pi ; 高端通带截止频率 whp = 0.65*pi ;

高端阻带截止频率 whs = 0.8*pi ;

思路分析:

由条件可知通带为0.3pi,由通带大小可设计滤波器。这样,滤波器就得到了为:()()n dn n dn d h n h n h ωω21+=。最后利用函数freqz 得到加窗后的滤波器的幅频响应和相频响应。

4、采用Hamming 窗设计一个带阻FIR 滤波器

要求:

阻带:0.35pi~0.65pi ,带内最小衰减Rs=50dB ; 通带:0~0.2pi 和0.8pi~pi ,带内最大衰减:Rp=1dB

思路分析:

根据要求知阻带截止频率s ω分别为0.35π,π65.0。通带截止频率为0.2π和0.8π。.根据表1可算得ω

π

?=

6.6N ,则海明窗的时域表达式可以通过n ω=hamming(N)得到。带阻滤波器可以看成是高通加低通。它的时域表达式是()()()()()()()()()()

?-?-+?-?--?-?-=

n pi n n pi n n pi n n h l h dn ωωπsin sin sin ,其中?应该关于2

1

-N 对称。这样,滤波器就得到了为:()()n dn d n h n h ω=。最后利用函数freqz 得到加窗后的滤波器的幅频响应和相频响应。

附程序:%子函数,产生理想滤波器的时域波形

function hd=ideal(w,N);%1,2型理想低通滤波器单位单位脉冲响应hd(n),w 为窗口长度,N 为截止频率% alpha=(N-1)/2; n=[0:N-1];

m=n-alpha+eps;%加一个小数以避免零作除数 hd=sin(w*m)./(pi*m);

四 程序代码

1、低通FIR 滤波器: % 采样频率为8kHz ;

% 通带:0Hz~1kHz,带内波动小于5%;wp=0.、25pi

% 阻带:1.5kHz,带内最小衰减:Rs=40dB。wst=0.375pi

%

clc

clear

Rs=40;

Wp=0.25*pi; %根据通带:0Hz~1kHz,带内波动小于5%;得wp=0.125pi

Wst=0.375*pi; % 阻带:1.5kHz,带内最小衰减:Rs=40dB。得wst=0.1875pi

dert_w=Wst-Wp;

% N=ceil((Rs-7.95)*2*pi/(14.36*dert_w)+1);

N=ceil((10*pi/dert_w)+1);

beta=0.5842*(Rs-21)^0.4+0.07886*(Rs-21);

hd=ideal((Wst-Wp)/2,N); %滤波器在时域系统的冲击响应

B=kaiser(N,beta); %凯泽窗

h=hd.*(B)'; %加窗后

[H,m]=freqz(h,[1],1024,'whole'); %获取频率响应

mag=abs(H); %幅值

db=20*log10((mag+eps)/max(mag)); %分贝数

pha=angle(H); %相位

%绘图

w=m/pi

figure(1);

subplot(2,2,1);

stem(hd);

xlabel('n');

ylabel('hd');

title('滤波器时域');

subplot(2,2,2);

plot(w,mag);

xlabel('w');

ylabel('h');

title('加窗后幅度响应');

subplot(2,2,3);

plot(w,db);

xlabel('w');

ylabel('db');

title('分贝数');

axis([0 1 -100 0]);

subplot(2,2,4);

plot(w,pha);%实际低通滤波器单位脉冲响应

xlabel('w');

ylabel('相位');

title('相频响应');

axis([0 1 -4 4]);

2:高通滤波器设计

clear all;

wp=0.6*pi;

ws=0.4*pi;

tr_width=wp-ws;

N=ceil(6.2*pi/tr_width)

n=0:1:N-1;

wc=(ws+wp)/2;

hd=ideal_hp1(wc,N);

w_han=(hanning(N))';

h=hd.*w_han;

[db,mag,pha,w]=freqz_m2(h,[1]);

delta_w=2*pi/1000;

Ap=-(min(db(wp/delta_w+1:1:501)))

As=-round(max(db(1:1:ws/delta_w+1)))

subplot(2,2,1),

stem(n,hd)

title('理想单位脉冲响应hd(n)')

subplot(2,2,2)

stem(n,w_han)

title('汉宁窗w(n)')

subplot(2,2,3)

stem(n,h)

title('实际单位脉冲响应h(n)')

subplot(2,2,4)

plot(w/pi,db)

title('幅度相应(db)')

axis([0,1,-100,10])

3:带通滤波器设计

wls = 0.2*pi;

wlp = 0.35*pi;

whp = 0.65*pi;

wc = [wlp/pi,whp/pi];

B = wlp-wls;

N = ceil(8/0.15);

n=0:N-1;

window= hanning(N);

[h1,w]=freqz(window,1);

figure(1);

stem(window);

axis([0 60 0 1.2]);

grid;

xlabel('n');

title('Hanning窗函数');

figure(2);

plot(w/pi,20*log(abs(h1)/abs(h1(1)))); axis([0 1 -350 0]);

grid;

xlabel('w/pi');

ylabel('幅度(dB)');

title('Hanning窗函数的频谱');

hn = fir1(N-1,wc, hanning (N));

[h2,w]=freqz(hn,1,512);

figure(3);

stem(n,hn);

axis([0 60 -0.25 0.25]);

grid;

xlabel('n');

ylabel('h(n)');

title(‘Hanning窗函数的单位脉冲响应’); figure(4);

plot(w/pi,20*log(abs(h2)/abs(h2(1)))); grid;

xlabel('w/pi');

ylabel('幅度(dB)');

4.带阻滤波器设计

% 采用Hamming窗设计一个带阻FIR滤波器

% 要求:

% 阻带:0.35pi~0.65pi,带内最小衰减Rs=50dB;

% 通带:0~0.2pi和0.8pi~pi,带内最大衰减:Rp=1dB。

clc

clear

Wpl=0.2*pi; %根据阻带:0.35pi~0.65pi,通带:0~0.2pi和0.8pi~pi,Wph=0.8*pi; %确定两个通带截止频率和两个阻带截止频率。

Wsl=0.35*pi;

Wsh=0.65*pi;

dert_w=min((Wsl-Wpl),(Wph-Wsh));

N=ceil(6.6*pi/dert_w); %根据过度带宽确定N

n=0:1:N-1;

Wcl=(Wsl+Wpl)/2; %低通中心频率

Wch=(Wsh+Wph)/2; %高通中心频率

hd=ideal(pi,N)-ideal(Wch,N)+ideal(Wcl,N); %带通滤波器的原型高通+低通B=hamming(N)'; %海明窗

h=hd.*B; %加窗后

[H,m]=freqz(h,[1],1024,'whole');%获取频率响应

mag=abs(H); %幅值

db=20*log10((mag+eps)/max(mag));%分贝数

pha=angle(H); %相位

w=m/pi;

%绘图

subplot(2,2,1);

stem(n,hd);

title('理想时域波形');

xlabel('n');

ylabel('sa(n)');

subplot(2,2,2);

stem(n,B);

title('海明窗');

xlabel('n');

ylabel('B');

subplot(2,2,3);

plot(w,mag);

title('幅频响应');

xlabel('f/Hz');

ylabel('幅度'); grid on;

axis([0 1 0 1]); subplot(2,2,4); plot(w,db);

title('衰减特性'); xlabel('f/Hz');

ylabel('分贝数/db'); axis([0 1 -100 0]); grid on

四、 设计结果及分析

1.用凯泽窗设计低通滤波器结果

50100

-0.05

00.05

0.1

0.15n h d

滤波器时域

0.5

1 1.52

00.51

1.5w

h

加窗后幅度响应

0.51

-100-50

0w

d b

分贝数

0.51

-4

-2

02

4w

相位

相频响应

图1

分析:如图1所示,四个图分别为,理想滤波器原型、幅频响应、衰减特性、和相位响应。

从衰减特性图可以看出,滤波器的衰减满足40分贝。

2.用hamming 窗设计一个高通FIR 滤波器

该高通滤波器的理想脉冲相应、窗函数、实际脉冲响应、以及通带脉冲响应的波形如下表:

3.用汉宁窗设计带通滤波器

汉宁窗函数波形图

汉宁窗函数频谱图

汉宁窗设计带通滤波器的冲击响应图

汉宁窗设计带通滤波器的幅频响应图

汉宁窗函数的阻带衰减大约在-70dB 到-300dB,也就是说旁瓣幅度远小于主瓣幅度,能量全部集中在主瓣,主瓣宽度也有所增加,效果比较明显。

汉宁窗是典型的升余弦窗,基本符合汉宁窗函数的时域表达式,所加的窗口是余弦函数(类似类似余弦函数)。

汉宁窗设计的带通滤波器带通下限截止频率大约为0.35π,带通上线截止频率大约为0.65π,在通带频率之外的频率的信号的幅度将受到很大的衰减,以致信号不能通过滤波器。

4.用海明窗设计带阻滤波器

20

40

60

-0.2

00.20.4

0.6理

想时域波形

n

s a (n )

0.5

1 1.52

-4-20

2

4相频响应

w/pi 相位

0.510

0.5

1

幅频响应

w/pi

幅度

0.5

1

-100

-50

衰减特性

w/pi

分贝数/d b

图2

分析:如图2所示为海明窗设计的带阻滤波器,从图可知满足通带截止频率0.2π、0.8π和阻带截止频率0.35π、0.65π以及阻带最大衰减50DB 。

fir低通滤波器matlab编程滤波前后图形

Matlab实现振动信号低通滤波 附件txt中的数字是一个实测振动信号,采样频率为5000Hz,试设计一个长度为M=32的FIR低通滤波器,截止频率为600Hz,用此滤波器对此信号进行滤波。 要求: (1)计算数字截止频率; (2)给出滤波器系数; (3)绘出原信号波形; (4)绘出滤波后的信号波形; 解答过程: 第一部分:数字截止频率的计算 数字截止频率等于截止频率除以采样频率的一半,即 n=600/5000/2=0.24第二部分:滤波器系数的确定 在matlab中输入如下程序,即可得到滤波器系数: n=32 Wn=0.24 b=fir1(n,Wn) 得到的滤波器系数b为 Columns 1 through 9 -0.0008-0.0018-0.0024-0.00140.00210.00750.01100.0077-0.0054Columns 10 through 18

-0.0242-0.0374-0.02990.00870.07560.15370.21660.24070.2166Columns 19 through 27 0.15370.07560.0087-0.0299-0.0374-0.0242-0.00540.00770.0110Columns 28 through 33 0.00750.0021-0.0014-0.0024-0.0018-0.0008 第三部分:原信号波形 将附件4中的dat文件利用识别软件读取其中的数据,共1024个点,存在TXT文档中,取名bv.txt,并复制到matlab的work文件夹。 在matlab中编写如下程序: x0=load('zhendong.txt');%找到信号数据地址并加载数据。 t=0:1/5000:1023/5000;%将数据的1024个点对应时间加载 figure(1); plot(t,x0); xlabel('t/s'); ylabel('幅值'); 运行之后就得到如下波形,即振动信号的原始波形图: 1.5 1 0.5 幅 值

巴特沃斯有源高通滤波器的设计

昆明理工大学课程设计说明书 课题名称:巴特沃斯有源高通滤波器的设计专业名称:电子信息工程 学生班级:09级电信三班 学生姓名:周剑彪 学生学号:200911513339 指导老师:王庆平 设计时间:2011年6月23日

第一部分:题目分析及设计思路 (一)、滤波器简介 滤波器是一种对信号有处理作用的器件或电路。主要作用是:让有用信号尽可能无衰减的通过,对无用信号尽可能大的衰减。 滤波器按照所处理的信号,可以分为:模拟滤波器和数字滤波器;按照信号的频段,可以分为:低通、高通、带通和带阻滤波器四种;按照所采用的原件,也可以分为:无源滤波器和有源滤波器。用来说明滤波器性能的技术指标主要有:中心频率f0,即工作频带的中心;带宽BW;通带衰减,即通带内的最大衰减阻带衰减等。 (二)巴特沃斯滤波器简介 巴特沃斯滤波器是电子滤波器的一种。巴特沃斯滤波器的特点是通频带的频率响应曲线最平滑。这种滤波器最先由英国工程师斯替芬〃巴特沃斯(Stephen Butterworth)在1930 年发表在英国《无线电工程》期刊的一篇论文中提出的。一级至五级巴特沃斯低通滤波器的响应如下图所示:

巴特沃斯滤波器的特点是通频带内的频率响应曲线最大限度平坦,没有起伏,而在阻频带则逐渐下降为零。在振幅的对数对角频率的波特图上,从某一边界角频率开始,振幅随着角频率的增加而逐步减少,趋向负无穷大。 (三)、巴特沃斯有源高通滤波器优化设计 设计目的 掌握滤波器的基本概念; 掌握滤波器传递函数的描述方法; 掌握巴特沃斯滤波器的设计方法; 设计一个巴特沃斯滤波器,其技术指标为: (1)阻带截止频率: fc = 1kHz ; (2)通带放大倍数:Aup =2; (3)品质因素:Q = 1; (4)阻带最小衰减率:-25dB。 设计要求: (1)确定传递函数; (2)给出电路结构和元件参数;(运算放大器可以选择) (3)利用PSPICE 软件对电路进行仿真,得到滤波器的幅频响应,是否满足设计指标;

基于MATLAB的FIR数字低通滤波器设计.doc

基于MATLAB的FIR数字低通滤波器设计 ..基于MATLAB的FIR数字低通滤波器设计作者: 周龙刚(陕西理工学院物理与电信工程学院通信工程专业2011级4班,陕西汉中723003)指导老师: 井敏英[摘要]FIR数字滤波器是数字信号处理的一个重要组成部分,由于FIR数字滤波器具有严格的线性相位,因此在信息的采集和处理过程中得到了广泛的应用。 本文介绍了FIR数字滤波器的概念和线性相位的条件,分析了窗函数法、频率采样法和等波纹逼近法设计FIR滤波器的思路和流程。在分析三种设计方法原理的基础上,借助Matlab仿真软件工具箱中的fir1实现窗函数法中的哈明窗设计FIR低通滤波器。[关键词] FIR数字滤波器;线性相位窗函数;法哈明窗;MatlabDesigning FIR low-pass digital filter based on VHDL Zhoulonggang(Grade11,Class4,Major of Communication Engineering,School of Physics and Telecommunication Engineering , Shaanxi University of Technology,Hanzhong 723003,Shaanxi)Tutor:JingYingMinAbstract:FIR digital filter is an important part of digital signal processing,the FIR digitalfilter with linear phase, so it has been widely applied in the collection and processing of information in the course of. This paper introduces the concept of FIR digital filter with linear phase conditions, analysis of the window functionmethod and frequency sampling method and the ripple

高通滤波器设计及仿真

信息与电气工程学院 电子电路仿真及设计CDIO三级项目 设计说明书 (2013/2014学年第二学期) 题目:高通滤波器系统仿真及设计 专业班级:通信工程班

目录 第一章文氏桥振荡器-------------------------------------------------1 1.1振荡器的设计及要求 ---------------------------------------------1 1.2系统工作原理 ---------------------------------------------------1 1.3电路设计原理图,实物图, 参数计算及仿真 --------------------------2第二章高通滤波器---------------------------------------------------6 2.1实际滤波器的基本参数--------------------------------------------6 2.2滤波器的设计目的------------------------------------------------6 2.3设计要求--------------------------------------------------------7 2.4系统的设计方案--------------------------------------------------7 2.5系统工作原理----------------------------------------------------7 2.6滤波器设计仿真,仿真结果,实物图,实测结果----------------------7 第三章合成电路----------------------------------------------------11 3.1合成电路仿真图-------------------------------------------------11 3.2焊接成品-------------------------------------------------------12 第四章心得体会----------------------------------------------------14 附录---------------------------------------------------------------14 参考文献-----------------------------------------------------------14

二阶高通滤波器的设计 (2)

前言 当今时代,随着科学技术的发展,先进的电子技术在各个近代学科门类和技术领域中有着不可或缺的核心地位。以前的三次工业革命就使我们的社会发生了翻天覆地的变化,使我们由手工时代进入了现代的电器时代。同时科技在国家的国防事业中发挥了重要的作用,只有科技发展了才能使一个国家变得强大。而作为二十一世纪的一名大学生,不仅仅要将理论只是学会,更为重要的是要将所学的知识用于实际生活之中,使理论与实践能够联系起来。 对信号进行分析与处理时, 常常会遇到有用信号叠加上无用噪声的问题, 这些噪声有的是与信号同时产生的, 有的是传输过程中混入的。因此, 从接收的信号中消除或减弱干扰噪声, 就成为信号传输与处理中十分重要的问题。根据有用信号与噪声的不同特性, 消除或减弱噪声,提取有用信号的过程称为滤波, 实现滤波功能的系统称为滤波器。 低通滤波器在现实生活中运用也十分广泛。该种滤波器是只有在规定的频率范围内才能使信号通过,而且其电路性能稳定,增益容易调节。利用这一性质不仅可以滤出有用信号且同时抑制无用信号。工程上也常常用低通滤波器作信号处理、数据传递和抑制干扰等。例如:无线电发射机使用低通滤波器阻塞可能引起与其它通信发生干扰的谐波发射;固体屏障也是一个声波的低通滤波器,当另外一个房间中播放音乐时,很容易听到音乐的低音,但是高音部分大部分被过滤掉。 我国现在有滤波器的种类和所覆盖的频率虽然基本上满足现有的各种电信设备。但从整体而言,我国有源滤波器的发展比无源滤波器缓慢,尚未大量生产和应用。我国电子产品要想实现大规模集成,滤波器集成化仍然是个重要课题。

第一章设计任务 1.1二阶低通滤波器题目要求 a)设计截止频率f=2kHz的滤波器 b)输出增益Av=2 c)要求用压控电压源型、无限增益多路反馈型两种方法

用matlab设计高通滤波器,雪比切夫、fir两种方法 课程设计HPF

课 程 设 计 20011 年 7月 1日 设计题目 学 号 专业班级 指导教师 学生姓名 张腾达 吴晔 陈丽娟 杨蕾 通信电子电路课程设计 ——数字滤波器的设计 张静 20080302 光信息08-3 班 实验组员 张静 胡磊 艾永春 赵亚龙 王宏道 胡进娟 马丽婷

设计题目通信电子电路课程设计 ——数字滤波器的设计 成绩 课程设计主要内容通信电子电路课程设计——数字滤波器的设计 某系统接收端接收到的信号为:y=cos(2π*60t)+1.2cos(2π *140t)+2sin(2π*220t) +1.5sin(2π*300t),此信号夹杂了一个正弦噪声noise= cos(2π*60t),设计一个高通滤波器将此噪声滤除,恢复原信号。 内容: 1.窗函数法设计FIR数字高通滤波器 2.切比雪夫1型高通滤波器 指导老师评语建议:从学生的工作状态、工作量、设计论文的创造性、学术性、实用性及书面表达能力等方面给出评价。 签名: 20 年月日

设计要求: 某系统接收端接收到的信号为 y=cos(2π*60t)+1.2cos(2π*140t)+2sin(2π*220t) +1.5sin(2π*300t) (A) 发现此信号夹杂了一个正弦噪声noise=1.5sin(2π*300t),请设计一个低通滤波器将此噪声滤除,从而恢复原信号。 (B) 发现此信号夹杂了一个正弦噪声noise= cos(2π*60t) +1.5sin(2π*300t) ,请设计一个带通滤波器将此噪声滤除,从而恢复原信号。 (C) 发现此信号夹杂了一个正弦噪声noise= 1.2cos(2π*140t)+2sin(2π*220t),请设计一个带阻滤波器将此噪声滤除,从而恢复原信号。 (D) 发现此信号夹杂了一个正弦噪声noise= cos(2π*60t),请设计一个高通滤波器将此噪声滤除,从而恢复原信号。 要求: (1)请写出具体的MATLAB程序,并详细解释每条程序(2)画出滤波前后信号的频谱图 (3)画出所设计滤波器的幅频和相频特性图,并写出具体参数

matlab的fir高通数字滤波器的设计和分析

摘要 无限长脉冲数字滤波器的设计方法只考虑了幅度特性,没有考虑相位特性,所设的滤波器一般是某种确定的非线性相位特性。有限脉冲响应(FIR)滤波器在保证了幅度特性满足技术要求的同时,很容易做到有严格的线性相位特性。 本课题利用MATLAB软件实现。MATLAB是“矩阵实验室”(MATrix LABoratoy)的缩写,是一种科学计算软件,它使用方便,输入简捷,运算高效,内容丰富,因此利用MATLAB软件,通过一系列较为系统的函数法,根据已知的技术指标,就可以设计出满足要求的滤波器。 关键字:MATLAB;窗函数;FIR带阻数字滤波器;线性相位

目录 1.FIR滤波器简介 (3) 1.1 FIR的特点 (3) 2.2线性相位 (3) 2.主要设计内容 (5) 3.窗函数 (6) 3.1常用窗函数 (6) 3.2窗函数的指标 (9) 4应用窗函数法设计 FIR 数字滤波器的步骤 (10) 4.1数字高通滤波器的设计: (10) 总结 (11) 参考文献 (12) 附录 (13)

1.FIR 滤波器简介 数字滤波器是一种用来过滤时间离散信号的数字系统,通过对抽样数据进行数 学处理来达到频域滤波的目的。根据其单位冲激响应函数的时域特性可分为两类:无限冲激响应(IIR )滤波器和有限冲激响应(FIR )滤波器。 1.1 FIR 的特点 FIR 滤波器的主要优点为:系统总是稳定的,FIR 滤波器的系统函数可以表示为 (2-1) 易知,H (z ) 在 Z 平面上有 N -1个零点,z =0 是 N -1 阶极点,因此FIR 系统总是稳定的(极点都在单位圆内)。FIR 滤波器的优点之二:容易实现线性相位。当 FIR 系统的单位冲激响应满足 时,该系统具有线性 相位。 (N 为奇数) (2-2) (N 为偶数) (2-3) FIR 滤波器的优点之三:允许设置多通带(或多阻带)滤波器。FIR 滤波器的优点之四:FIR 滤波器可以采用 FFT 方法实现其功能,从而大大提高效率。FIR 滤波器的缺点:由于 FIR 系统只有零点,因此这类系统不像FIR 滤波器不像 IIR 滤波器那样容易取得比较好的通带与阻带衰减特性。要取得较好的衰减特性,一般要求 H (z ) 的阶次较高。综合起来看, FIR 滤波器具有IIR 滤波器没有的许多特点,得到了越来越广泛的应用。 FIR 滤波器的设计方法主要有三种:a.窗函数设计法;b.频率抽样发;c.最小平法抽样法;这里我主要讨论在MATLAB 环境下通过调用信号分析与处理工具箱的几类窗函数来设计滤波器并分析与比较其性能 2.2线性相位 一个单一频率的正弦信号通过一个系统,假设它通过这个系统的时间需要t ,则这个信号的输出相位落后原来信号wt 的相位。从这边可以看出,一个正弦信号通过一个系统落后的相位等于它的w *t ;反过来说,如果一个频率为w 的正弦信号通过系统后,它的相位落后delta ,则该信号被延迟了delta /w 的时间。在实 11 1) 1(10)()()()(--=-----=-===∑∑N N n n N N N n n z z f z n h z z n h z H )1()(n N h n h --±=2/)1()(--=N ωω?2/)1(2/)(--=N ωπω?

二阶高通滤波器的设计

模拟电路课程设计报告设计课题:二阶高通滤波器的设计 专业班级:电信本 学生姓名: 学号:69 指导教师: 设计时间:1月3日

题目:二阶高通滤波器的设计 一、设计任务与要求 ① 分别用压控电压源和无限增益多路反馈二种方法设计电路; ② 截止频率f c =200Hz ; ③ 增益A V =2; ④ 用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源(±12V )。 二、方案设计与论证 二阶高通滤波器是容许高频信号通过、但减弱(或减少)频率低于截止频率信号通过的滤波器。高通滤波器有综合滤波功能,它可以滤掉若干次高次谐波,并可减少滤波回路数。对于不同滤波器而言,每个频率的信号的减弱程度不同。其在音频应用中也使用低音消除滤波器或者噪声滤波器。本设计为分别使用压控电压源和无限增益多路反馈两种方法设计二阶高通滤波器。二者电路都是基于芯片ua741设计而成。将信号源接入电路板后,调整函数信号发生器的频率,通过观察示波器可以看到信号放大了2倍。现在工厂对于谐波的治理,应用最多的仍然是高压无源滤波器,高压无源滤波器有多种接线方式,其中单调谐滤波器及二阶高通滤波器使用最为广泛,无源滤波器具有结构简单、设备投资较少、运行可靠性较高、运行费用较低等优点, 2.1设计一、用压控电压源设计二阶高通滤波电路 与LPF 有对偶性,将LPF 的电阻和电容互换,就可得一阶HPF 、简单二阶HPF 、压控电压源二阶HPF 电路采用压控电压源二阶高通滤波电路。 电路如图2-1所示,参数计算为: 通带增益: 3 4 1R R Aup + = Aup 表示二阶高通滤波器的通带电压放大倍数 截止频率: RC f π210=

有源高通滤波器电路设计(100Hz截止频率)

长沙学院课程设计说明书 题目有源高通滤波器电路设计系(部) 电子与通信工程系 专业(班级) 电气工程及其自动化姓名 学号 指导教师 起止日期

模拟电子技术课程设计任务书 系(部):电子与通信工程系专业:电气工程及其自动化指导教师:

长沙学院课程设计鉴定表

目录 摘要 (5) 1.电路设计 (6) 1.1.电路元件及参数的选择 (6) 1.2.电路原理图绘制 (6) 2.电路的仿真 (7) 2.1.使用Multisim9仿真波特图示仪 (7) 2.2.使用Multisim9仿真示波器 (7) 2.2.1.输入信号频率小于截止频率时的仿真 (7) 2.2.2.输入信号频率等于截止频率时的仿真 (8) 2.2.3.输入信号频率大于截止频率时的仿真 (8) 参考文献 (9) 设计总结 (9)

摘要 滤波器是一种能使有用信号通过而大幅抑制无用信号的电子装置。常用来进行信号处理、数据传输和抑制噪声等。以往这种滤波电路主要采用无源R、L和C组成,20世纪60年代以来,集成运放获得了迅速发展,由它和R、C组成的有源滤波电路,具有不用电感、体积小、重量轻等优点。此外,由于集成运放的开环电压和输入阻抗均很高,输出阻抗又低,构成有源滤波电路后还具有一定的电压放大和缓冲作用。但是,集成运放的带宽有限,所以目前有源滤波电路的工作频率难以做的很高,以及难于对功率信号进行 滤波,这是它的不足之处。]1[在实际电子系统中,有源滤波器运用广泛,输入信号往往是含有多种频率成 分的复杂信号,可能还会混入各种噪声、干扰及其它无用频率的信号,因此需要设法将有用频率信号挑选出来、将无用信号频率抑制掉。完成此任务需要具有选频功能的电路。本文主要内容是设计一个能阻挡低频信号、输出高频信号的有源高通滤波电路,以及利用Multisim9对电路进行仿真。本电路所用到的运算放大器LM741EN,它的管脚1和5为调零端,管脚2为运放反相输入端,管脚3为同相输入端,管脚6为输出端,管脚7为正电源端,管脚4为负电源端,管脚8为空端。Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 关键词:滤波器运算放大器有源滤波电路有源高通滤波电路Multisim 电路仿真

基于matlab的FIR低通高通带通带阻滤波器设计

基于matlab的FIR低通-高通-带通-带阻滤波器设计

————————————————————————————————作者:————————————————————————————————日期:

北京师范大学 课程设计报告 课程名称: DSP 设计名称:FIR 低通、高通带通和带阻数字滤波器的设计姓名: 学号: 班级: 指导教师: 起止日期: 课程设计任务书

学生班级: 学生姓名: 学号: 设计名称: FIR 低通、高通带通和带阻数字滤波器的设计 起止日期: 指导教师: 设计目标: 1、采用Kaiser 窗设计一个低通FIR 滤波器 要求: 采样频率为8kHz ; 通带:0Hz~1kHz ,带内波动小于5%; 阻带:1.5kHz ,带内最小衰减:Rs=40dB 。 2、采用hamming 窗设计一个高通FIR 滤波器 要求: 通带截至频率wp=rad π6.0, 阻带截止频率ws=rad π4.0, 通带最大衰减dB p 25.0=α,阻带最小衰减dB s 50=α 3、采用hamming 设计一个带通滤波器 低端阻带截止频率 wls = 0.2*pi ; 低端通带截止频率 wlp = 0.35*pi ; 高端通带截止频率 whp = 0.65*pi ; 高端阻带截止频率 whs = 0.8*pi ; 4、采用Hamming 窗设计一个带阻FIR 滤波器 要求: 通带:0.35pi~0.65pi ,带内最小衰减Rs=50dB ; 阻带:0~0.2pi 和0.8pi~pi ,带内最大衰减:Rp=1dB 。

FIR 低通、高通带通和带阻数字滤波器的设计 一、 设计目的和意义 1、熟练掌握使用窗函数的设计滤波器的方法,学会设计低通、带通、带阻滤波器。 2、通过对滤波器的设计,了解几种窗函数的性能,学会针对不同的指标选择不同的窗函数。 二、 设计原理 一般,设计线性相位FIR 数字滤波器采用窗函数法或频率抽样法,本设计采用窗函数法,分别采用海明窗和凯泽窗设计带通、带阻和低通。 如果所希望的滤波器的理想频率响应函数为)(jw d e H ,如理想的低通,由信号系统的知识知道,在时域系统的冲击响应h d (n)将是无限长的,如图2、图3所示。 H d (w) -w c w c 图2 图3 若时域响应是无限长的,则不可能实现,因此需要对其截断,即设计一个FIR 滤波器频率响应∑-=-=1 0)()(N n jwn jw e n h e H 来逼近)(jw d e H ,即用一个窗函数w(n)来 截断h d (n),如式3所示: )()()(n w n h n h d = (式1)。 最简单的截断方法是矩形窗,实际操作中,直接取h d (n)的主要数据即可。 )(n h 作为实际设计的FIR 数字滤波器的单位脉冲响应序列,其频率响应函数为: ∑-=-=1 0)()(N n jwn jw e n h e H (式2) 令jw e z =,则 ∑-=-=1 0)()(N n n z n h z H (式3), 式中,N 为所选窗函数)(n w 的长度。

FIR低通数字滤波器的设计要点

《DSP技术与应用》课程设计报告 课题名称:基于DSP Builder的FIR数字滤波器的设计与实现 学院:电子信息工程学院 班级:11级电信本01班 学号: 姓名:

题目基于DSP Builder的FIR数字滤波器的设计与实现 摘要 FIR数字滤波器是数字信号处理的一个重要组成部分,由于FIR数字滤波器具有严格的线性相位,因此在信息的采集和处理过程中得到了广泛的应用。本文介绍了FIR数字滤波器的概念和线性相位的条件,分析了窗函数法、频率采样法和等波纹逼近法设计FIR滤波器的思路和流程。在分析三种设计方法原理的基础上,借助Matlab仿真软件工具箱中的fir1、fir2和remez子函数分别实现窗函数法、频率采样法和等波纹逼近法设计FIR滤波器。然后检验滤波器的滤波效果,采用一段音频进行加噪声然后用滤波器滤,对比三段音频效果进而对滤波器的滤波效果进行检验。仿真结果表明,在相频特性上,三种方法设计的FIR滤波器在通带内都具有线性相位;在幅频特性上,相比窗函数法和频率采样法,等波纹逼近法设计FIR滤波器的边界频率精确,通带和阻带衰减控制。

Abstract FIR digital filter is an important part of digital signal processing, the FIR digital filter with linear phase, so it has been widely applied in the collection and processing of information in the course of. This paper introduces the concept of FIR digital filter with linear phase conditions, analysis of the window function method and frequency sampling method and the ripple approximation method of FIR filter design ideas and processes. Based on analyzing the principle of three kinds of design methods, by means of fir1, fir2 and Remez function of Matlab simulation software in the Toolbox window function method and frequency sampling method and respectively realize equiripple approximation method to design FIR filter. Then test the filtering effect of the filter, using an audio add noise and then filter, test three audio effects and comparison of filter filtering effect. Simulation results show that the phase frequency characteristic, three design methods of FIR filter with linear phase are in the pass band; the amplitude frequency characteristics, compared with the window function method and frequency sampling method, equiripple approximation method Design of FIR filter with accurate boundary frequency, the passband and stopband attenuation control.

DSP高通滤波器课程设计报告

D S P课程设计报告 题目:FIR高通滤波器设计 姓名 学号 教学院系 专业年级 指导教师

DSP课程设计 目录 一、设计题目 (1) 二、设计目标 (1) 三、算法研究与参数计算 (1) 1、FIR的原理和参数生成公式 (1) 2、利用MATLAB计算滤波系数 (1) 3、输入信号参数计算 (2) 四、编写源程序 (3) 五、调试过程 (4) 1、调试前准备 (5) 2、MATLAB的使用 (5) 3、编写及编译程序 (5) 4、设置断点和探针 (6) 5、打开观察窗口 (6) 六、实验结果及分析 (6) 1、输入信号的时域波形和频域波形 (6) 2、输出信号的时域波形和频域波形 (7) 七、设计心得 (8)

1 一、设计题目 FIR 高通滤波器设计 二、设计目标 设计一个FIR 高通滤波器,通带边界频率为6000Hz ,采样频率为20000Hz 。FIR 滤波器的设计用MA TLAB 窗函数法进行。 三、算法研究与参数计算 1、FIR 的原理和参数生成公式 图3-1 2、利用MATLAB 计算滤波系数 在MATLAB 界面输入图3-2所示程序,可得到滤波系数并生成INC 文件。 图 3-2

DSP 课程设计 2 输入freqz (y ,1,512),MATLAB 中显示高通滤波器的滤波特性曲线。如图3-3所示。 图3-3 3、输入信号参数计算 MATLAB 中输入图3-4中所示程序,包含两种频率成分的正弦信号,一种信号频率1000Hz ,一种信号6000Hz 。 图3-4 其频谱特性曲线如图3-5。 图3-5

FIR高通滤波器设计 四、编写源程序 参考资料,编写汇编语言源程序: HIGHPASS .set 1 ;if you want to use ,please set the value to 1 .global start,fir .mmregs COFF_FIR_START: .sect "coff_fir" .copy "0126.inc" K_FIR_BFFR .set 64 d_data_buffer .usect "fir_bfr",64 FIR_DP .usect "fir_vars",0 d_filin .usect "fir_vars",1 output .usect "fir_vars",1 input .usect "fir_vars",1 d_filout .usect "fir_vars",100h stacksize .set 256 stack .usect "fir_vars",stacksize .asg AR4,FIR_DATA_P .asg AR6,INBUF_P .asg AR7,OUTBUF_P .asg AR3,OUTBUF .asg AR2,INBUF .sect "fir_prog" nop start: stm #stack+stacksize,SP LD #FIR_DP,DP STM #d_data_buffer,FIR_DATA_P RPTZ A,#K_FIR_BFFR-1 STL A,*FIR_DATA_P+ STM #d_filin,INBUF_P 3

利用MATLAB窗函数法设计一个可实现的FIR低通滤波器。

一、实验目的 1.掌握在MATLAB中窗函数的使用方法,了解不同窗函数之间的差别。 2.使用窗函数法设计一个可实现的FIR低通滤波器。 3.观察在相同长度下,不同的窗函数设计出来的滤波器有什么差别。 4.观察同一个窗在不同长度下设计出来的滤波器有什么差别。 二、实验条件 PC机,MATLAB7.0 三、实验内容 1)通过help查找窗函数在MATLAB中如何实现

通过example了解MATLAB中窗函数的实现,并且利用矩形窗,汉宁窗,哈明窗,布莱克曼窗和凯塞窗来进行接下来的实验。 2)设计物理可实现的低通滤波器 设计思路:因为要设计FIR有限脉冲响应滤波器,通常的理想滤波器的单位脉冲响应h是无限长的,所以需要通过窗来截断它,从而变成可实现的低通滤波器。程序如下:clc;clear all; omga_d=pi/5; omga=0:pi/30:pi; for N=3:4:51; w1= window(@blackman,N); w2 = window(@hamming,N); w3= window(@kaiser,N,2.5); w4= window(@hann,N); w5 = window(@rectwin,N); M=floor(N/2); subplot(311);plot(-M:M,[w1,w2,w3,w4,w5]); axis([-M M 0 1]); legend('Blackman','Hamming','kaiser','hann','rectwin'); n=1:M; hd=sin(n*omga_d)./(n*omga_d)*omga_d/pi; hd=[fliplr(hd),1/omga_d,hd]; h_d1=hd.*w1';h_d2=hd.*w2';h_d3=hd.*w3';h_d4=hd.*w4';h_d5=hd.*w5'; m=1:M; H_d1=2*cos(omga'*m)*h_d1(M+2:N)'+h_d1(M+1); H_d2=2*cos(omga'*m)*h_d2(M+2:N)'+h_d2(M+1); H_d3=2*cos(omga'*m)*h_d3(M+2:N)'+h_d3(M+1); H_d4=2*cos(omga'*m)*h_d4(M+2:N)'+h_d4(M+1); H_d5=2*cos(omga'*m)*h_d5(M+2:N)'+h_d5(M+1); subplot(312);plot(omga,[H_d1,H_d2,H_d3,H_d4,H_d5]); legend('Blackman','Hamming','kaiser','hann','rectwin'); subplot(313);plot(abs([fft(h_d1);fft(h_d2);fft(h_d3);fft(h_d4);fft(h_d5)] )'); pause(); end 程序分析: 整个对称窗的长度为N,然而为了在MATLAB中看到窗函数在负值时的形状需将N 变为它的一半,即为2M+1个长度。窗长设置为从3开始以4为间隔一直跳动51。则长度相同的不同窗函数在时域[-M,M]的形状如第一个图所示。 对窗函数进行傅里叶变换时,将零点跳过去先构造一个一半的理想滤波器的脉冲响应hd,再将零点位置求导得出的数赋值进去。将生成的hd左右颠倒形成了一个理想的滤波器的脉冲响应。将构造的理想滤波器的脉冲响应依次与之前定义的窗函数相乘,相乘出来的为列向量,用转置将其变成行向量,形成的h_d就是非理想的低通滤波器的脉冲响应序列。因为h_d为对称奇数长度序列,它的DTFT可以是二倍的离散余弦变化,而零点的位置则直接带入求出,两者相加则是H_d。 则第二个图表示的是五个矩阵向量在频域的变化,而第三个图表示的是五个非理想低

matlab设计低通滤波器

个matlab程序怎么编?(设计低通滤波器) 通带边缘频率10khz 阻带边缘频率22khz 阻带衰减75db 采样频率50khz 要求设计这个低通滤波器 画出脉冲响应的图形 还有滤波器的形状 具体程序怎么编? 谢谢各位大虾的指点!!! 最佳答案 1.1 实验目的 1.了解数字信号处理系统的一般构成; 2.掌握奈奎斯特抽样定理。 1.2 实验仪器 1.YBLD智能综合信号源测试仪1台 2.双踪示波器1台 3.MCOM-TG305数字信号处理与现代通信技术实验箱1台 4.PC机(装有MATLAB、MCOM-TG305配套实验软件)1台 1.3 实验原理 一个典型的DSP系统除了数字信号处理部分外,还包括A/D和D/A两部分。这是因为自然界的信号,如声音、图像等大多是模拟信号,因此需要将其数字化后进行数字信号处理,模拟信号的数字化即称为A/D转换。数字信号处理后的数据可能需还原为模拟信号,这就需要进行D/A转换。一个仅包括A/D和D/A两部分的简化数字信号处理系统功能如图1所示。 A/D转换包括三个紧密相关的过程,即抽样、量化和编码。A/D转换中需解决的以下几个重要问题:抽样后输出信号中还有没有原始信号的信息?如果有能不能把它取出来?抽样频率应该如何选择?

奈奎斯特抽样定理(即低通信号的均匀抽样定理)告诉我们,一个频带限制在0至fx以内的低通信号x(t),如果以fs≥2fx的抽样速率进行均匀抽样,则x(t)可以由抽样后的信号xs(t)完全地确定,即xs(t)包含有x(t)的成分,可以通过适当的低通滤波器不失真地恢复出x(t)。最小抽样速率fs=2fx称为奈奎斯特速率。 低通 译码 编码 量化 抽样 输入信号样点输出滤波输出 A/D(模数转换)D/A(数模转换) 图1 低通采样定理演示 为方便实现,实验中更换了一种表现形式,即抽样频率固定(10KHz),通过改变输入模拟信号的频率来展示低通抽样定理。我们可以通过研究抽样频率和模拟信号最高频率分量的频率之间的关系,来验证低通抽样定理。 1.4 实验内容 1.软件仿真实验:编写并调试MATLAB程序,分析有关参数,记录有关波形。 2.硬件实验:输入不同频率的正弦信号,观察采样时钟波形、输入信号波形、样点输出波形和滤波输出波形。 1.5 MATLAB参考程序和仿真内容 %*******************************************************************% %f—余弦信号的频率

c语言写的fir低通滤波器

根据fir滤波器的公式y(n)=∑h(m)x(n-m);(m: 0~(N-1)).利用MATLAB产生滤波器系数(h(n))并归一化,下面为一个LP滤波算法 void filter(void) { uint16 i,j; fp32 sum; int16 x1[2030]; fp32 h[19]={ -0.0027, -0.0025, 0.0050, 0.0157, -0.0000, -0.0471, -0.0482, 0.0838, 0.2953, 0.4013, 0.2953, 0.0838, -0.0482, -0.0471, -0.0000,0.0157, 0.0050, -0.0025, -0.0027}; for(i=0;i<2020;i++) x1[i] = data0[i]; for(i=0;i<2020;i++) { sum=0.0; for(j=0;j<19;j++) { if(i >= j) sum+=h[j]*x1[i-j]; else ; } data0[i]=(int16)sum; } for(i=0;i<2000;i++) { data0[i] = data0[i+20]; } } 考虑到前19个点为不完全累加和,故抛去前19个点。(应该是前后各18个点都是不完全累加和,都应该去掉,对于数据分段进入滤波器的情况,应该把前一段的后面数据放到下一段的前面,这段时间我在解调FSK时遇到了这个问题,通过滤波器的数据的分段处理。) 设输入数据x[N],输出数据y[N],滤波器系数h[n] 1.直接法(由y(m)=h(0)*x(m)+h(1)*x(m-1)+...+h(N-1)*x(m-n-1)); void fir(short x[], short h[], short y[]) { int i,j; long long sum; for (j = 0; j < N; j++) { sum = 0; for (i = 0; i < n; i++) sum += x[j-i] * h[i]; y[j] = sum >> 15; } } 乘法器使用次数:N*n 2.逆推法: void fir(short x[], short h[], short y[])

DSP课程设计-FIR高通滤波器设计

DSP课程设计-FIR高通滤波器设计 FIR 高通滤波器设计 南京师范大学物科院 从实现方法方面考虑,将滤波器分为两种,一种是IIR 滤波器,另一种是FIR 滤波器。 FIRDF 的最大优点是可以实现线性相位滤波。而IIRDF 主要对幅频特性进行逼近,相频特性会存在不同程度的非线性。我们知道,无失真传输与滤波处理的条件是,在信号的 有效频谱范围内系统幅频响应应为常数,相频响应为频率的线性函数。另外,FIR 是全零 点滤波器,硬件和软件实现结构简单,不用考虑稳定性问题。所以,FIRDF 是一种很重要 的滤波器,在数字信号处理领域得到广泛应用。 FIRDF 设计方法主要分为两类:第一类是基于逼近理想滤波器特性的方法,包括窗函 数法、频率采样法和等波纹最佳逼近法;第二类是最优设计法。其中窗函数计法的基本思 想是用FIRDF 逼近希望的滤波特性。本次设计主要采用窗函数设计法,对理想滤波器进行逼近,从而实现高通滤波器的设计。 在MATLAB 软件中,有一系列函数用于设计滤波器,应用时十分方便。因此,在本次 设计中,滤波器的设计主要采用MATLAB 软件,编写适当的程序,得到滤波器的单位脉冲 响应。 本设计对滤波器的硬件仿真主要使用CCS 软件,通过对滤波器的硬件仿真,可以较为真实的看出滤波器的滤波效果。 关键字:高通、FIRDF 、线性相位、Hanning 窗、MATLAB 、CCS 1. 设计目标 产生一个多频信号,设计一个高通滤波器消除其中的低频成分,通过CCS 的graph view波形和频谱显示,并和MATLAB 计算结果比较 2. 设计原理 2.1 数字滤波器 数字滤波器(digital filter)是由数字乘法器、加法器和延时单元组成的一种装置。 其功能是对输入离散信号的数字代码进行运算处理,以达到改变信号频谱的目的。由于电 子计算机技术和大规模集成电路的发展,数字滤波器已可用计算机软件实现,也可用大规 模集成数字硬件实时实现。数字滤波器广泛用于数字信号处理中,如电视、VCD 、音响等。

实验4 基于MATLAB的FIR数字滤波器设计

实验4 基于MATLAB 的FIR 数字滤波器设计 实验目的:加深对数字滤波器的常用指标和设计过程的理解。 实验原理:低通滤波器的常用指标: P P P for H Ω≤Ω+≤Ω≤-,1)(1δδ πδ≤Ω≤Ω≤ΩS S for H ,)( 通带边缘频率P Ω,阻带边缘频率S Ω ,通带起伏 P δ, 通带峰值起伏] )[1(log 2010dB p p δα--=, 阻带起伏s δ,最小阻带衰减])[(log 2010dB s S δα-=。 数字滤波器有IIR 和FIR 两种类型,它们的特点和设计方法不同。 在MATLAB 中,可以用b=fir1(N,Wn,’ftype’,taper) 等函数辅助设计FIR 数字滤波器。N 代表滤波器阶数;Wn 代表滤波器的截止频率(归一化频率),当设计带通和带阻滤波器时,Wn 为双元素相量;ftype 代表滤波器类型,如’high ’高通,’stop ’带阻等;taper 为窗函数类型,默认为海明窗,窗系数需要实现用窗函数blackman, hamming,hanning chebwin, kaiser 产生。 S P P S Passband Stopband Transition band Fig 1 Typical magnitude specification for a digital LPF

例1 用凯塞窗设计一FIR低通滤波器,通带边界频率π3.0 ,阻带边界频 Ω = p ,阻带衰减不小于 率π5.0 Ω = s 50dB。

解首先由过渡带宽和阻带衰减来决定凯塞窗的N和 π2.0 = Ω - Ω = ?Ω p s , ,

相关主题
文本预览
相关文档 最新文档