当前位置:文档之家› 湖南科技大学VHDL5套试卷标准答案

湖南科技大学VHDL5套试卷标准答案

湖南科技大学VHDL5套试卷标准答案
湖南科技大学VHDL5套试卷标准答案

2009/2010 学年第一学期末考试试题答案及评分标准

(A卷)

一、填空题(20分,每空格1分)

1、一个完整的VHDL语言程序通常包含实体(entity),构造体(architecture),

配置(configuration),包集合(package)和库(library) 5各部分。

2、在一个实体的端口方向说明时,输入使用in表示,那么构造体内部不能再使用的输出是用 out 表示;双向端口是用 inout 表示;构造体内部可再次使用的输出是用 buffer 表示;

3、一个构造体可以使用几个子结构,即相对比较独立的几个模块来构成。VHDL语言可以有以下3种形式的子结构描述语句: BLOCK 语句结构; PROCESS 语句结构和SUBPROGRAMS 结构。

4、VHDL的客体,或称数据对象包括了常数、变量variable 和信号signal 。

5、请列出三个VHDL语言的数据类型,如实数、位等。位矢量,字符,布尔量。

6、设D0为'0', D1为'0', D2为'1', D3为'0', D0 & D1 & D2 & D3的运算结果是“0010”,D3 & D2 & D1 & D0的运算结果是“0100”。

7、构造体的描述方式包括三种,分别是寄存器传输(RTL)描述方法或称数据流;构造体的结构描述方式和构造体的行为描述方式。

二、判断对错并给出判断依据(20分,每小题5分,判断对错2分,给出正确答案3分)

1、传统的系统硬件设计方法是采用自上而下(top down)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自下而上(bottom up)的设计方法。(×)传统的系统硬件设计方法是采用自下而上(bottom up)的设计方法,利用硬件描述语言(HDL)的硬件电路设计方法采用自上而下(top down)的设计方法

2、VHDL可以采用层次化的设计,一个高层的结构体中可以调用低层的实体(√)

3、一个VHAL程序中仅能使用一个进程(process)语句。(×)

可以使用多个进程语句。

4、VHDL语言的预算操作包括了逻辑运算符、关系运算符、乘法运算符等,它们三者的优先级是相同的。

(×)

逻辑运算符<关系运算符<乘法运算

三、判断题(10分)

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

以上库和程序包语句有无错误?有,有的话请在原程序相应位置改正。

(2)

entity rom is

port(

addr: in std_logic_vector(0 to 3);

ce: in std_logic;

data:out std_logic_vector(7 downto 0) ;

);

end rom;

以上port语句有无错误?有,有的话请在原程序相应位置改正。(4)

architecture behave of rom is

begin

process(ce,addr)

begin

if ce='0' then (6)

case addr is

when "0000"=>

data<="10001001";

when "0001"=>

data<="10001010";

when "0010"=>

data<="10001011";

when "0011"=>

data<="10001100";

when "0100"=>

data<="10001101";

when "0101"=>

data<="10001110";

when "0110"=>

data<="10001111";

when "0111"=>

data<="10010000";

when "1000"=>

data<="10010001";

when "1001"=>

data<="10010010";

when "1010"=>

data<="10010011";

when "1011"=>

data<="10010100";

when "1100"=>

data<="10010101";

when "1101"=>

data<="10010110";

when "1110"=>

data<="10010111";

when others=>

| data<="10011000";

| end case; (8)

else

data<="00000000";

end if;

end process;(10)

end behave;

以上architecture中有哪些错误?请在原程序相应位置改正。

四、编程题(共50分)

1、请补全以下二选一VHDL程序(本题10分)

Entity mux is

port(d0,d1,sel:in bit;

q:out BIT ); (2) end mux;

architecture connect of MUX is (4) signal tmp1, TMP2 ,tmp3:bit; (6) begin

cale:

block begin

tmp1<=d0 and sel;

tmp2<=d1 and (not sel)

tmp3<= tmp1 and tmp2;

q <= tmp3; (8)

end block cale;

end CONNECT ; (10)

2、编写一个2输入与门的VHDL 程序,请写出库、程序包、实体、构造体相关语句,将端口定义为标准逻辑型数据结构(本题10分)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; (2) ENTITY nand2 IS

PORT (a ,b:IN STD_LOGIC; (4) y:OUT STD_LOGIC); (6) END nand2;

ARCHITECTURE nand2_1 OF nand2 IS (8) BEGIN

y <= a NAND b; --与y <=NOT( a AND b);等价 (10) END nand2_1;

3、根据下表填写完成一个3-8线译码器的VHDL 程序(16分)。

&

a b

y

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY decoder_3_to_8 IS

PORT (a,b,c,g1,g2a,g2b:IN STD_LOGIC;

y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); (2)END decoder_3_to_8;

ARCHITECTURE rtl OF decoder_3_to_8 IS

SIGNAL indata:STD_LOGIC_VECTOR (2 DOWNTO 0); (4)BEGIN

indata <= c & b & a; (6)PROCESS (indata,g1,g2a,g2b)

BEGIN

IF (g1 = '1' AND g2a = '0' AND g2b = '0' ) THEN (8)CASE indata IS

WHEN "000"=> y <= "11111110";

WHEN "001" => y <= "11111101";

WHEN "010" => y <= "11111011"; (10)

WHEN "011" => y <= "11110111";

WHEN "100" => y <= "11101111";

WHEN "101" => y <= "11011111";

WHEN "110" => y <= "10111111"; (12)

WHEN "111" => y <= "01111111";

WHEN OTHERS=> y <= "XXXXXXXX";

END CASE;

ELSE

y <= "11111111"; (14)

END IF;

END PROCESS; (16)

END rtl;

4、三态门电原理图如右图所示,真值表如左图所示,请完成其VHDL程序构造体部分。(本题14分)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY tri_gate IS

PORT(din,en:IN STD_LOGIC;

dout : OUT STD_LOGIC);

END tri_gate ;

ARCHITECTURE zas OF tri_gate IS

BEGIN

PROCESS (din,en)

BEGIN

IF (en=…1') THEN dout <= din;

ELSE dout <= …Z?;

END IF;

END PROCESS ;

END zas ;

2008/2009 学年第一学期末考试试题答案及评分标准

(A卷)

一、填空题(20分,每空格1分)

1、试举出两种可编程逻辑器件 CPLD 、 FPGA 。

2、VHDL程序的基本结构包括库、程序包、实体

和结构体。

3、more_ _11标识符合法吗?不合法。8bit标识符合法吗?不合法。

variable标识符合法吗?不合法。

4、信号的代入通常用 <= ,变量用 := 。

5、表示‘0’‘1’;两值逻辑的数据类型是 bit(位),表示‘0’‘1’‘Z’等九值逻辑的数据类型是 std_logic(标准逻辑),表示空操作的数据类型是 NULL 。

6、定义一个信号a,数据类型为4位标准逻辑向量 signal a : std_logic_vector(3 downto 0) 定义一个变量b,数据类型为2位位向量 variable b : bit_vector(1 downto 0) 。

7、<=是小于等于关系运算符,又是赋值运算操作符。

8、设D0为'1', D1为'0', D2为'1', D3为'0', D3 & D2 & D1 & D0的运算结果是“0101”,D1 & D2 & D3 & D4的运算结果是“1010”。

二、判断对错并给出判断依据(20分,每小题5分,判断对错2分,给出正确答案3分)

1、进程语句中,不管在何时,process语句后面必须列出敏感信号(×)

包含wait语句的进程语句可不列出敏感信号。

2、VHDL语言与计算机C语言的没有差别。(×)

●运行的基础

–计算机语言是在CPU+RAM构建的平台上运行

–VHDL设计的结果是由具体的逻辑、触发器组成的数字电路

●执行方式

–计算机语言基本上以串行的方式执行

–VHDL在总体上是以并行方式工作

●验证方式

–计算机语言主要关注于变量值的变化

–VHDL要实现严格的时序逻辑关系

3、在结构体中定义一个全局变量(VARIABLES),可以在所有进程中使用。(×)

“变量(VARIABLES)”改为“信号”。

4、Moore状态机输出只是状态机当前状态的函数,Mealy状态机输出为有限状态机当前值和输入值的函数(√)

三、判断题(10分)

library ieee;

use ieee.std_logic_arith.all;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

以上库和程序包语句有无错误?有,有的话请在原程序相应位置改正。 (2) entity rom is

port(

addr: in std_logic_vector(0 to 3);

ce: in std_logic;

data:out std_logic_vector(7 downto 0) ;

)

end rom;

以上port 语句有无错误? 有 ,有的话请在原程序相应位置改正。 (4)

architecture behave of rom is begin

process (ce,addr ) (6) begin if ce='0' then case addr is when "0000"=> data<="10001001"; when "0001"=> data<="10001010"; when "0010"=> data<="10001011"; when "0011"=> data<="10001100"; when "0100"=> data<="10001101"; when "0101"=> data<="10001110"; when "0110"=> data<="10001111"; when "0111"=> data<="10010000"; when "1000"=> data<="10010001"; when "1001"=> data<="10010010"; when "1010"=> data<="10010011"; when "1011"=> data<="10010100"; when "1100"=> data<="10010101"; when "1101"=> data<="10010110"; when "1110"=> data<="10010111"; when others=> data<="10011000"; end case; else

data:="00000000"; --data <= “00000000”; (8) end if; (10) end process; end behave;

以上architecture 中有哪些错误?请在原程序相应位置改正。 四、 编程题(共50分)

1、根据一下四选一程序的结构体部分,完成实体程序部分(本题8分)

entity MUX4 is

port( (2)

s: in std_logic_vector(1 downto 0); (4)

d: in std_logic_vector(3 downto 0); (6)

y: out std_logic (8)

);

end MUX4;

architecture behave of MUX4 is

begin

process(s)

begin

if (s="00") then

y<=d(0);

elsif (s="01") then

y<=d(1);

elsif (s="10") then

y<=d(2);

elsif (s="11") then

y<=d(3);

else

null;

end if;

end process;

end behave;

2、编写一个数值比较器VHDL程序的进程(不必写整个结构框架),要求使能信号g低电平时比较器开始工作,输入信号p = q,输出equ为‘0’,否则为‘1’。(本题10分)process(p,q) (2)

begin

if g='0' then (4)

if p = q then

equ <= '0'; (6)

else

equ <= '1'; (8)

end if;

else

equ <= '1'; (10)

end if;

end process;

3、填写完成一个8-3线编码器的VHDL程序(16分)。

Library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity eight_tri is

port(

b: in std_logic_vector(7 downto 0); (2)

en: in std_logic;

y: out std_logic_vector(2 downto 0) (4));

end eight_tri;

architecture a of eight_tri is (6)signal sel: std_logic_vector(8 downto 0);

begin

sel<=en & b; (8)

y<= “000” when (sel=”100000001”)else

“001” when (sel=”100000010”)else(10)

“010” when (sel=”100000100”)else

“011” when (sel=”100001000”)else

“100” when (sel=”100010000”)else(12)

“101” when (sel=”100100000”)else

“110” when (sel=”101000000”)else(14)

“111” when (sel=”110000000”)else(16)

“zzz”;

end a;

4、图中给出了4位逐位进位全加器,请完成其VHDL程序。(本题16分)

library IEEE;

use IEEE.std_logic_1164.all;

use IEEE.std_logic_arith.all;

use IEEE.std_logic_unsigned.all;

entity full_add is

port (

a,b: in std_logic_vector (3 downto 0); (2)

carr: inout std_logic_vector (4 downto 0);

sum: out std_logic_vector (3 downto 0)

);

end full_add;

architecture full_add_arch of full_add is

component adder (4)

port (

a,b,c: in std_logic;

carr: inout std_logic;

sum: out std_logic (6));

end component;

begin

carr(0)<='0';

u0:adder port map(a(0),b(0),carr(0),carr(1),sum(0));

u1:adder port map(a(1),b(1),carr(1),carr(2),sum(1)); (8)(10)u2:adder port map(a(2),b(2),carr(2),carr(3),sum(2)); (12)

u3:adder port map(a(3),b(3),carr(3),carr(4),sum(3)); (14)(16)end full_add_arch;

2008/2009 学年第一学期末考试试题(B卷)

一、填空题(30分,每空格1分)

1、同A

2、VHDL程序的基本结构至少应包括实体、结构体两部分和对

库的引用声明。

3、1_Digital标识符合法吗?否, \12 @ +\ 呢?合法。

4、在VHDL的常用对象中,信号、变量可以被多次赋予不同的值,

常量只能在定义时赋值。

5、实体的端口模式用来说明数据、信号通过该端口的传输方向,端口模式有 in 、 Out 、 inout 、 buffer 。

6、VHDL语言中std_logic类型取值‘Z’表示高阻,取值‘X’表示不确定。

7、整型对象的范围约束通常用 range 关键词,位矢量用 downto/to 关键词。

8、位类型的初始化采用(字符/字符串)字符、位矢量用字符串。

9、进程必须位于结构体内部,变量必须定义于进程/包/子程序内部。

10、并置运算符 & 的功能是把多个位或位向量合并为一个位向量。

11、进程执行的机制是敏感信号发生跳变。

12、判断CLK信号上升沿到达的语句是 if clk’event and clk = ‘1’ then .

13、 IF 语句各条件间具有不同的优先级。

14、任何时序电路都以时钟为驱动信号,时序电路只是在时钟信号的边沿到来时,

其状态才发生改变。

15、 Moore 状态机输出只依赖于器件的当前状态,与输入信号无关。

二、判断对错并改正(12分,每小题3分)

1、CONSTANT T2:std_logic <= ?0?;(错)改正:把<= 换为:= 。

2、若某变量被定义为数值型变量,未赋初始值时默认值为‘0’。

(错)改正:把‘0’的单引号去掉。

3、在结构体中定义一个全局变量(V ARIABLES),可以在所有进程中使用。

(错)改正:“变量(V ARIABLES)”改为“信号”。

4、语句 type wr is (wr0,wr1,wr2,wr3,wr4,wr5); 定义了一个状态机变量wr,

可以直接对wr赋值。

(错)改正:语句 type wr is (wr0,wr1,wr2,wr3,wr4,wr5); 定义了一个状态机类型wr,需要定义一个该类型的对象,才可以对该对象赋值。

三、简答(8分,每小题4分)

1、简述如何利用计数器精确控制时序。

?只要知道晶振频率f,即可知道周期T=1/f;

?使用一个计数器,可以通过计数值n,精确知道当计数值为n时消耗的时间t=nT;

?上例中以n为控制条件,可以控制其它信号在某时刻变高,某时刻变低,从而产生

精确时序;

例如:

?PROCESS (clr,clk)

?BEGIN

? IF(clr=1) THEN

?Count_B<=“00000000”;

?q <= ‘0’;

? ELSIF (clk'EVENT AND clk = ‘1’ ) THE N

?Count_B<=count_B + 1;

?IF (Count_B = “00000000”) THEN

?q <= ‘1’;

?ELSIF (Count_B = “00000001”) THEN

?q <= ‘0’;

?ELSIF (Count_B = “00000011”) THEN

?q <= ‘1’;

?ELSIF (Count_B = “00000100”) THEN

?q <= ‘0’;

? END IF; ? END IF; ? END PROCESS;

2、简述moore 状态机和mealy 状态机的区别。

从输出的时序上看,Mealy 机的输出是当前状态和所有输入信号的函数,它的输出是在输入变化后立即发生的。Moore 机的输出则仅为当前状态的函数,在输入发生变化时还必须等待时钟的到来,时钟使状态发生变化时才导致输出的变化。 Moore 型状态机:次态=f(现状,输入),输出=f (现状); Mealy 型状态机:次态=f(现状,输入),输出=f (现状,输入); 四、 编程(共50分)

1

library IEEE;

use IEEE.std_logic_1164.all;

entity VposDff is

port (CLK, CLR, D: in STD_LOGIC; ----------2分

Q, QN: out STD_LOGIC ); ----------4分

end VposDff;

architecture VposDff_arch of VposDff is

begin

process ( CLK, CLR ) ----------6分

begin

if CLR='1' then Q <= '0'; QN <='1';

elsif CLK'event and CLK='1' then

Q <= D; QN <= not D; ----------8分 end if;

end process; ----------10分

end VposDff_arch;

2、完成以下4位全加器代码(本题10分)

library IEEE;

use IEEE.std_logic_1164.all;

entity full_add is

port (

a,b: in std_logic_vector (3 downto 0);

cin: in std_logic;

cout: out std_logic;

sum: out std_logic_vector (3 downto 0)

);

end full_add;

architecture full_add_arch of full_add is

component adder

port ( a,b,c: in std_logic;

carr: out std_logic;

sum: out std_logic );

end component;

signal c1,c2,c3: std_logic; 2分

begin

u0:adder port map(a(0),b(0),cin,c1,sum(0)); 4分

u1:adder port map(a(1),b(1),c1,c2,sum(1)); 5分

u2:adder port map(a(2),b(2),c2,c3,sum(2)); 6分

u3:adder port map(a(3),b(3),c3,cout,sum(3)); 10分end full_add_arch;

3、补充完整如下代码,使之完成4状态不断循环。(本题10分)

ARCHITECTURE arc OF ss IS

type states is ( st0,st1,st2,st3 ); 2分

signal outc: states; 4分

BEGIN

PROCESS(clk)

BEGIN

IF reset='1' then

outc <=st0 ; 6分

elsif clk'event and clk='1' then

CASE outc IS

WHEN st0 => outc <= st1; 7分

WHEN st1 => outc <= st2; 8分

WHEN st2 => outc <= st3; 9分

WHEN st3 => outc <= st0; 10分

WHEN OTHERS => outc <=st0;

END CASE;

end if;

END PROCESS;

END arc;

4、设计异或门逻辑:(本题20分)

如下异或门,填写右边的真值表。(此项5分)

其表达式可以表示为:(此项5分)

a

这一关系图示如下:

试编写完整的VHDL代码实现以上逻辑。可以采用任何描述法。(此项10分)library ieee;

use ieee.std_logic_1164.all; 1分

entity yihuo1 is

port( a,b :in std_logic;

y :out std_logic );

end yihuo1; 4分

architecture yihuo1_behavior of yihuo1 is

begin 7分process(a,b) y<=a xor b;

begin (第2种写法)

if a=b then

y<='0';

else

y<='1';

end if;

end process;

end yihuo1_behavior; 10分

2007/2008 学年第一学期末考试试题答案及评分标准

(A卷)

一、填空题(20分,每空格1分)

1、VHDL是否区分大小写?不区分。

2、digital_ _8标识符合法吗?不合法。12_bit标识符合法吗?不合法。

signal标识符合法吗?不合法。

3、结构体有三种描述方式,分别是数据流、行为、

和结构化。

4、请分别列举一个常用的库和程序包library ieee 、use ieee.std_logic_1164.all 。

5、一个信号处于高阻(三态)时的值在VHDL中描述为‘Z’。

6、将一个信号width定义为一个4位标准逻辑向量为

signal width : std_logic_vector(3 downto 0) 。

7、/=是不相等操作符,功能是在条件判断是判断操作符两端不相等。

8、设D0为'0', D1为'1', D2为'1', D3为'0', D3 & D2 & D1 & D0的运算结果是

“0110”,(D3 or D2)and(D1 and not D0)的运算结果是:‘1’。

9、赋值语句是(并行/串行)并行执行的,if语句是(并行/串行)串行执行的。

10、请列举三种可编程逻辑器件: EEPROM 、 GAL 、 FPGA 。

二、简答(20分,每小题5分)

1、简述VHDL程序的基本结构。

库(1)

程序包(2)

实体(3)

结构体(5)若答出配置也可加1分

2、简述信号与变量的区别。

信号延时赋值,变量立即赋值(2)

信号的代入使用<=,变量的代入使用:=;(4)

信号在实际的硬件当中有对应的连线,变量没有(5)

3、简述可编程逻辑器件的优点。

●集成度高,可以替代多至几千块通用IC芯片

–极大减小电路的面积,降低功耗,提高可靠性(1)

●具有完善先进的开发工具

–提供语言、图形等设计方法,十分灵活

–通过仿真工具来验证设计的正确性(2)

●可以反复地擦除、编程,方便设计的修改和升级(3)

●灵活地定义管脚功能,减轻设计工作量,缩短系统开发时间(4)

●保密性好(5)

4、试比较moore状态机与mealy状态机的异同。

Moore输出只是状态机当前状态的函数(3)

Mealy输出为有限状态机当前值和输入值的函数(5)

三、判断题(10分)

library ieee;

use ieee.std_logic_arith.all;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

以上库和程序包语句有无错误?有,有的话请在原程序相应位置改正。(2)

[经济学]统计学试卷

成都理工大学2006-2007学年第二学期 《统计学》期末考试试题(第二套) 题号一二三四五总分 得分 一、单项选择题(在备选答案中只有一个是正确的,将其选出并把它的英文标号写在题后括号内。不答题或者答错题既不得分,也不倒扣分。每题1分,共10分) 1、在研究某城市工业企业生产时,某个工业企业生产工人人数是() A、数量指标 B、数量标志 C、变量 D、标志总量 2、对全国货币发行量中占较大比重的几个大地区进行货币发行量调查,这种调查方式属于() A、普查 B、典型调查 C、抽样调查 D、重点调查 3、2003年某机械车间工人的月平均工资为1200元,工具车间工人的月平均工资为1400元,2004年各车间的工资水平不变,但机械车间工人增加20%,工具车间工人增加10%,则2004年两车间工人总平均工资比2003年() A、提高 B、降低 C、不变 D、不能做结论 4、某企业2003年完成利润100万元,2004年计划比2003年增长5%,实际完成110万元,2004年超额完成计划() A、104.76% B、4.76% C、110% D、10% 5、某单位四年管理费用的环比增长速度为3%,5%,8%,13%,则平均发展速度为() A、 B、 C、-1 D、-1 6、若同样多的人民币多购买商品3%,则物价: A、下降3% B、上升3% C、下降2.91% D、不变

7、是非标志的方差,其最大值是()。 A、1 B、1/2 C、1/3 D、1/4 8、在回归分析中,要求两变量 A、都是随机变量 B、自变量是确定性变量,因变量是随机变量 C、都是确定性变量 D、因变量是确定性变量,自变量是随机变量 9、无偏性是指 A、抽样指标的平均数等于被估计的总体指标 B、当样本容量n充分大时,样本指标充分靠近总体指标 C、随着n的无限增大,样本指标与未知的总体指标之间的离差任意小的可能性趋于实际必然性 D、作为估计量的方差比其他估计量的方差小 10、在一定的抽样平均误差条件下 A、扩大极限误差范围,可以提高推断的可靠程度 B、扩大极限误差范围,会降低推断的可靠程度 C、缩小极限误差范围,可以提高推断的可靠程度 D、缩小极限误差范围,不改变推断的可靠程度 二、多项选择题(在备选答案中有二个以上是正确的,将它们全选出并把它们的标号写在题后括号内,每题所有答案选择正确的得分;不答、错答、漏答均不得分。每题2分,共10分) 1、统计指标和统计标志是不同的,下面属于统计指标的是()。 A、某地区人口的性别比例 B、某人的性别 C、一台完好的设备 D、设备完好率 E 平均身高 2、下列指标中属于时点指标的有() A、企业数 B、在册职工人数 C、某种商品的销售量 D、某地区2004年人口数 E、某种产品的产量 3、影响抽样平均误差的因素有() A、总体标志变异程度 B、样本容量 C、抽样组织形式 D、抽样方法(重复和不重复) E、样本指标值的大小

湖南科技大学试题纸计算机(一)

图书馆复印室湖南科技大学试题纸 计算机文化基础 一.选择题(30 1.5’=45’) 1.完整的计算机硬件系统一般包括外部设备和______ A 运算器和控制器 B 存贮器 C 主机 D 中央处理器 2. 计算机能够自动工作,主要是因为采用了______ A 二进制数制 B 高速电子元件 C 存储程序控制 D 程序设计语言 3. 微型计算机的性能主要由______来决定。 A 价格 B CPU C 控制器D外设 4. 下列总线中,___________的条数决定内存储器容量的大小。 A 数据总线 B 地址总线 C 控制总线 D 内部总线 5. 通常所指微型机用来存放程序和数据的内存是______。 A 随机存储器RAM B 只读存储器 C可编程只读存储器PROM D可改写只读存储器EPROM 6. 下列几个选项中不属于硬件范畴的是_______。 A 显卡 B 打印机 C Windows 98 D 键盘 7. 6位无符号二进制数能表示的最大十进制整数是______ A 64 B 63 C 32 D 31 8. 计算机中信息存储的最小单位是______。 A 位 B 字 C 字节 D 字长 9. 软件是______。 A 程序 B 文档 C 程序+文档 D 进程 10. 一个数A的补码为10010111,则A的原码为________。 A 10010111 B 11101000 C 11101001 D 01101001 11. 下列叙述中,正确的一条是______。 A 存储在任何存储器中的信息,断电后都不会丢失 B 操作系统是只对硬盘进行管理的程序 C 硬盘装在主机箱内,因此硬盘属于主存 D 磁盘驱动器属于外部设备 12. 在WINDOWS 2000默认环境中,下列哪个是中英文输入切换键______。 A Ctrl+Alt B Ctrl+空格 C Shift+空格 D Ctrl+Shift 13. 一个数A的补码为10010111,则A的反码为________。 A 10010111 B 10010110 C 11101001 D 01101001 14. 第三代计算机的元器件是________。 A 电子管 B 中小规模集成电路 C 晶体管 D 超大规模集成电路 15. 桌面上,______能扩展图标为窗口。 A 单击图标 B 双击图标 C 拖动图标 D 按回车键 16. 微型计算机中使用的关系数据库就其应用而言是属于________。

土力学试卷(B)及答案

技术学院考试试卷(B ) 一、填空题(每空1分,共20分) 1、土的结构类型为 、 和 。 2、对无粘性土的工程性质影响最大的是土的 ,工程上用指标 来衡量。 3、粘性土的塑性指标I p ,液性指标I L 。 4、附加应力自 起算,自重应力自 起算。 5、土的抗剪强度指标的常用测定方法有 、 、 和 。 6、荷载试验曲线上,从线性关系开始变成非线性关系时的界限荷载称为 。 7、随荷载增加,地基变形的三个阶段是 、 和 。 8、钢筋混凝土扩展基础指 和 。 二、选择题(每题2分,共30分) 1.天然状态砂土的密实度一般用( )来测定。 A 荷载试验 B 轻便触探试验 C 现场剪切板剪切试验 D 标准贯入试验 2.粘土软硬状态的划分依据是( )。 A 含水量 B 液限 C 液性指数 D 塑性指数 3.利用角点法及角点下的附加应力系数表仅可求得( )。 A 基础投影范围内地基中的附加应力 B 基础投影范围外地基中的附加应力 C 基础中任意点的附加应力 D 基础中心点下地基中的附加应力 4.土中控制体积和强度变化的应力是( )。 A 孔隙水压力 B 有效应力 C 自重应力 5.下列说法中,错误的是( )。 A 土在压力作用下体积缩小 B 土的压缩主要是土中孔隙体积的减小 C 土的压缩与土的透水性有关 D 饱和土的压缩主要是土中气体被挤出 6.在土的压缩性指标中,( )。 A 压缩系数α与压缩模量Es 成正比 B 压缩系数α与压缩模量Es 成反比 C 压缩系数越大,土的压缩性越低 D 压缩模量越小,土的压缩性越低 7.在基底平均压力和其他条件均相同的条件下,条形基础的沉降比矩形基础的沉降( )。 A 大 B 小 C 相同 D 无法比较 8.某房屋地基为厚粘土层,施工速度快,则在工程上地基土抗剪强度指标宜用 下列哪种试验确定?( ) A 固结快剪 B 快剪 C 慢剪 9.下列说法中,错误的是( ), A 土的自重应力一般不会引起地基变形 B 地基中附加应力会引起地基变形 C 饱和土中的总应力等于有效应力和附加应力之和 D 孔隙水压力会使土体产生体积变形 10、土的γ、γsat 、γ’和γd 数值大小依次为( ), A γd <γ’<γ<γsat B γ’<γd <γ<γsat C γd <γ<γ’<γsat D γ’<γ<γd <γsat 11、当地下水位从地表处下降至基底平面处,对有效应力有何影响?( ) A 有效应力不变 B 有效应力增加 C 有效应力减小 12、当地基为高压缩土时,分层综合法确定地基沉降计算深度的标准是( )。 A σZ ≤0.3σc B σZ ≤0.2σc C σZ ≤0.1σc D σZ ≤0.05σc 13、土越密实,其内摩擦角( )。 A 越小 B 越大 C 不变 14.在设计仅起挡土作用的中立式挡土墙时,土压力应按( )计算。 A 主动土压力 B 被动土压力 C 静止土压力 D 静止水压力 A 大 B 小 C 大或小 D 两者相同 15.对于桩端阻力极限值,下列说法正确的是( )。 A 随深度线性增加 B 随深度线性减小 C 随深度线性增加,达到一临界值后保持不变 三、 判断题(每题1分, 共10分:对√;错×) 1、( ) 土的结构最主要的特征是成层性。 2、( )在填方工程施工中,常用土的干密度来评价填土的压实程度。 3、( )粉土的塑性指数I P 小于或等于10 、粒径大于0.075的颗粒含量不超过全重55%的土。 4.( )由于土中自重应力属于有效应力,因而与地下水位的升降无关。 5.( )达西定律中的渗透速度不是孔隙水的实际流速。 6. ( )柱下独立基础埋深的大小对基底附加应力影响不大 7. ( )土的压缩性指标只能通过室内压缩试验求得。 8. ( )朗肯土压力理论的基本假设是:墙背直立、粗糙且墙后填土面水平。 9. ( ) 地基承载力特征值在数值上与地基极限承载力相差不大。 10. ( )端承摩擦是以端承力为主,摩擦力为辅。 四、简答题(每空5分,共20分) 1、何为土的颗粒级配,粒径级配曲线的横纵坐标各表示什么? 2、什么是主动土压力、被动土压力、和静止土压力?三者大小关系为? 3、何谓地基承载力?地基土的破坏模式有哪几种?地基基础设计的条件? 4、什么是高承台桩?什么是低承台桩?其适用的范围是什么? 五、计算题(1题8分,2题12分共20分)

统计学试卷及答案

统计学试卷及答案 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

统计学原理试卷1(专科) 05工商管理 一、单项选择题(每题1分,共20分) 1.下面属于品质标志的是() A、工人年龄 B、工人性别 C、工人月工资 D、工人体重 2.某工厂有100名职工,把他们的工资加总除以100,这是对100个()求平均数 A、变量 B、标志 C、变量值 D、指标 3.统计设计的核心问题是() A、搜集统计资料方法的设计 B、统计分类和分组的设计 C、统计指标和指标体系的设计 D、统计工作各个环节的具体设计 4.统计调查按组织方式的不同可以分为() A、全面调查与专门调查 B、定期调查与连续性调查 C、连续性调查与一次性调查 D、统计报表与专门调查 5.为了了解城市职工家庭的基本情况,以作为研究城市职工收入水平及生活负担的依据,需要进行一次专门调查,最为适合的调查组织形式是() A、重点调查 B、典型调查 C、抽样调查 D、普查 6.非全面调查中最完善、最有科学根据的方式方法是() A、重点调查 B、典型调查 C、抽样调查 D、非全面报表 7.某工业企业产品年生产量为10万件,期末库存量为万件,它们()。 A、是时期指标 B、是时点指标 C、前者是时期指标,后者是时点指标 D、前者是时点指标,后者是时期指标 8.加权算术平均数的大小()。 A、受各组次数的影响最大 B、受各组标志值的影响最大 C、受各组标志值和次数的共同影响 D、不受各组次数的影响 9.时间数列中所排列的指标数值()。 A、只能是绝对数 B、只能是相对数 C、只能是平均数 D、可以是绝对数,也可以是相对数或平均数 10.发展速度与增长速度的关系是()。 A、环比增长速度等于定基发展速度-1 B、环比增长速度等于环比发展速度-1 C、定基增长速度的连乘积等于定基发展速度 D、环比增长速度的连乘积等于环比发展速度 11.抽样调查的目的在于()。 A、了解总体的基本情况 B、用样本指标推断总体指标 C、对样本进行全面调查 D、了解样本的基本情况 12.当一个现象的数量由小变大,而另一个现象的数量相反地由大变小时,这种相关关系称为 ()。 A、线性相关 B、非线性相关 C、正相关 D、负相关

大学统计学试卷及答案3套

2011年12月考试统计学第一次作业 一、单项选择题(本大题共45分,共 15 小题,每小题 3 分) 1. 对单项数列,其满足左偏斜分布时有( )。(X为均值) A. B. C. D. 2. 报告期总量加权的平均指数在计算形式上主要采取() A. 综合指数形式 B. 算术平均形式 C. 调和平均形式 D. 固定构成指数形式 3. 红星企业的2010年的产值比去年上升了8%,则8%为() A. 平均数指标 B. 总量指标 C. 相对数指标 D. 离散指标 4. 对某种连续生产的产品进行质量检验,要求每隔一小时抽出10钟的产品进 行检验,这种抽查方式是() A. 简单随机抽样 B. 类型抽样 C. 整群抽样 D. 等距抽样 5. 若销售量增加,销售额不变,则物价指数() A. 降低 B. 升高 C. 不变 D. 无法确定 6. 某灯泡厂为了掌握该厂的产品质量,拟进行一次全厂的质量大检查,这种检查应当选择() A. 统计报表 B. 重点调查 C. 全面调查 D. 抽样调查 7. 根据各年的月份资料计算的季节指数其平均数为() A. 100% B. 1200% C. 120% D. 400% 8. 直接反映总体规模大小的指标是() A. 平均指标 B. 相对指标 C. 总量 指标 D. 变异指标 9. 说明回归直线拟合程度的统计量主要是() A. 相关系数 B. 回归系数 C. 判定系数 D. 估计标准误差 10. 如果调查对象之中包含的单位很多,而且缺少原始记录可供参考,这种情 况应用() A. 抽样调查 B. 重点调查 C. 普查 D. 统计报表 11. 某连续性变量的分组中,其末组为开口组,下限为200,又知其邻组的组 中值为170,则末组的组中值为()。 A. 260 B. 215 C. 230 D. 185 12. 当已知时,总体均值μ的1- 置信水平下的置信区间为()。 A. B. C. D. 13. 计算平均指标时,最常用的方法和最基本的形式是()。 A. 中位数 B. 众数 C. 调和平均数 D. 算术平均数 14. 若已知是的3倍,

土力学及地基基础试卷及答案

土力学及地基基础标准预测试卷(一) (考试时间150分钟) 第一部分选择题 一、单项选择题(本大题共10小题,每小题2分,共20分)在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.用粒径级配曲线法表示土样的颗粒组成情况时,若曲线越陡,则表示土的 ( ) A.颗粒级配越好 B.颗粒级配越差 C.颗粒大小越不均匀 D.不均匀系数越大 2.判别粘性土软硬状态的指标是 ( ) A.塑性指数 B.液性指数 C.压缩系数 D.压缩指数 3.产生流砂的充分而必要的条件是动水力 ( ) A.方向向下 B.等于或大于土的有效重度 C.方向向上 D.方向向上且等于或大于土的有效重度 4.在均质土层中,土的竖向自重应力沿深度的分布规律是 ( ) A.均匀的 B.曲线的 C.折线的 D.直线的 5.在荷载作用下,土体抗剪强度变化的原因是 ( ) A.附加应力的变化 B.总应力的变化 C.有效应力的变化 D.自重应力的变化 6.采用条形荷载导出的地基界限荷载P1/4用于矩形底面基础设计时,其结果 ( )

A.偏于安全 B.偏于危险 C.安全度不变 D.安全与否无法确定 7.无粘性土坡在稳定状态下(不含临界稳定)坡角β与土的内摩擦角φ之间的关系是( ) A.β<φB.β=φ C.β>φ D.β≤φ 8.下列不属于工程地质勘察报告常用图表的是 ( ) A.钻孔柱状图 B.工程地质剖面图 C.地下水等水位线图 D.土工试验成果总表 9.对于轴心受压或荷载偏心距e较小的基础,可以根据土的抗剪强度指标标准值φk、Ck 按公式确定地基承载力的特征值。偏心距的大小规定为(注:Z 为偏心方向的基础边长) ( ) A.e≤ι/30 B.e≤ι/10 C.e≤b/4 D.e≤b/2 10.对于含水量较高的粘性土,堆载预压法处理地基的主要作用之一是 ( ) A.减小液化的可能性 B.减小冻胀 C.提高地基承载力 D.消除湿陷性 第二部分非选择题 二、填空题(本大题共10小题,每小题1分,共10分)请在每小题的空格中填上正确答案。错填、不填均无分。 11.建筑物在地面以下并将上部荷载传递至地基的结构称为____。 12.土的颗粒级配曲线愈陡,其不均匀系数C u值愈____。 13.人工填土包括素填土、冲填土、压实填土和____。

湖南科技大学论文范本

湖南科技大学 毕业设计(论文) 题目中小企业人力资源管理现状 和对策 作者贺海莉 层次专科 专业人力资源管理 学号 指导教师曹文献 二 0一六年三月十日

湖南科技大学成人高等教育毕业论文(设计)评审表

注:此表一式二份,与学籍表一起进入学员毕业档案

湖南科技大学 毕业设计(论文)任务书继续教育学院 学生姓名: 贺海莉学号: 专业: 人力资源管理 1 设计(论文)题目及专题:中小企业人力资源管理现状和对策 2 学生设计(论文)时间:自 2014 年 12 月 1 日开始至 2015 年 5 月 1 日止 3 设计(论文)所用资源和参考资料: 〔1〕黄江圳,谭力文.从能力到动态能力:企业战略观的转变[J].经济管理,2002 〔2〕王雪莉战略人力资源管理——用人模型与关键决策2010 〔3〕付亚和,许玉林.绩效管理.复旦大学出版社.2003 〔4〕王淑红,龙立荣.绩效管理综述.中外管理导报,2002.9 4 设计(论文)完成的主要内容: 〔1〕人力资源管理的内涵及其在现代中小企业管理中的重要地位 〔2〕当前我国中小企业人力资源的现状和人力资源管理的主要问题 〔3〕中小企业实施有效的人力资源管理的对策 5 提交设计(论文)形式(设计说明与图纸或论文等)及要求: 〔1〕要求认真、独立完成毕业论文(设计)的写作 〔2〕要求按照学校规定的论文(设计)格式撰写论文(设计) 〔3〕要求及时同指导老师进行沟通,按步骤完成论文(设计)的写作和答辩工作。 6 发题时间: 2014 年 12 月 1 日 指导教师:曹文献(签名) 学生:贺海莉(签名)

中小企业人力资源管理现状和对策 专科人力资源管理 摘要 人力资源管理的内涵及其在现代中小企业管理中的重要地位,分析了我国中小企业人力资源管理的现状,指出了其中存在的问题和误区,并探讨了在新经济下顺应国际大趋势,积极推进我国中小企业人力资源管理工作的几点对策。 关键词:人力资源;中小企业管理;绩效评估; 绪论 人力资源管理的内涵及其在现代中小企业管理中的重要地位,分析了我国中小企业人力资源管理的现状,指出了其中存在的问题和误区,并探讨了在新经济下顺应国际大趋势,积极推进我国中小企业人力资源管理工作的几点对策。 一、人力资源管理的内涵及其在现代中小企业管理中的重要地位 人力资源管理是在20世纪中叶逐渐由人事管理转化而来,历经半个多世纪的发展,其功能经历了上升的过程,人力资源管理对中小企业发展极为重要。中小企业在我国国民经济中占有十分重要的地位。目前全国工商注册登记的中小企业已超过1千万家,占全国全部工商注册登记企业总数的99%.中小企业在全国工业产值和实现利税中分别占60%和40%左右,流通领域占全国零售网点的90%以上,提供了大约75%的城镇就业机会。但是长期以来,小企业由于管理人才缺乏、管理手段落后和管理制度不健全,相当一部分企业仍习惯于传统生产型的经营管理方式,缺乏对市场的适应和把握能力,生产的盲目性很大;加之人员文化素质低,技术人员和管理人员缺乏,很多中小企业只能从事劳动密集型和粗加工的产业,产品往往不具备竞争力。据统计,全

大学统计学试卷及答案3套

大学统计学试卷及答案3套 2011 年12 月考试统计学第一次作业一、单项选择题(本大题共45 分,共15 小题,每小题3 分)1. 对单项数列,其满足左偏斜分布时有。(X 为均值)A. B. C. D.2. 报告期总量加权的平均指数在计算形式上主要采取()A. 综合指数形式B. 算术平均形式C. 调和平均形式 D. 固定构成指数形式3. 红星企业的2010 年的产值比去年上升了8,则8为()A. 平均数指标B. 总量指标 C. 相对数指标 D. 离散指标4. 对某种连续生产的产品进行质量检验,要求每隔一小时抽出10 钟的产品进行检验,这种抽查方式是()A. 简单随机抽样B. 类型抽样C. 整群抽样D.等距抽样5. 若销售量增加,销售额不变,则物价指数()A. 降低B. 升高C. 不变D. 无法确定6. 某灯泡厂为了掌握该厂的产品质量,拟进行一次全厂的质量大检查,这种检查应当选择()A. 统计报表B. 重点调查C. 全面调查D. 抽样调查7. 根据各年的月份资料计算的季节指数其平均数为()A. 100 B. 1200 C.120 D. 4008. 直接反映总体规模大小的指标是()A. 平均指标 B. 相对指标 C. 总量指标 D. 变异指标9. 说明 回归直线拟合程度的统计量主要是()A. 相关系数B. 回归系数 C.判定系数D. 估计标准误差10. 如果调查对象之中包含的单位很多,而且缺少原始记录可供参考,这种情况应用()A. 抽样调查 B. 重点调查C. 普查 D. 统计报表11. 某连续性变量的分组中,其末组为开口组,下限为200,

又知其邻组的组中值为170,则末组的组中值为()。A. 260 B. 215 C. 230 D. 18512. 当已知时,总体均值μ 的1- 置信水平下的置信区间为()。A. B. C. D.13. 计算平均指标时,最常用的方法和最基本的形式是()。A. 中位数B.众数C. 调和平均数 D. 算术平均数14. 若已知是的 3 倍,是的 1.5 倍,则相关系数()。A. B. C. D.15. 要对某市高等学校的科研所进行调查,则统计总体是()。A. 某市所有的高等学校B. 某一高等学校的科研所 C. 某一高等学校 D. 某市所有高等学校的科研所二、多项选择题(本大题共40 分,共10 小题,每小题4 分)1. 统计指数的性质主要有()A. 综合性 B. 代表性 C. 相对性D. 平均性2. 统计预测工作应当遵循的原则有()A. 定量分析是定性分析的基础 B.根据现象的特点选用适宜的预测方法 C. 坚持实事求是的原则 D. 统计预测中的定量预测要大量使 用模型外推法3. 相关系数与回归系数的关系有()。A. 回归系数大于零则相关系数大于零 B. 回归系数小于零则相关系数小于零C. 回归系数大于零则相关系数小于零 D. 回归系数小于零则相关系数大于零 E. 回归系数等于零则相关系数等于零4. 统计表从外表形式看,主要有()A. 统计数字资料 B. 标题 C. 主词 D.标目 E. 宾词5. 在编制加权综合指数时,确定权数需要考虑的问题有() A. 现象之间的内在联系B. 权数的所属时期 C. 权数的具体数值D. 权

湖南科技大学考试试题纸A

湖南科技大学考试试题纸A

————————————————————————————————作者:————————————————————————————————日期:

湖南科技大学考试试题纸( A 卷) (2007-2008 学年第一学期) 高等数学A 课程 院(系) 班级 考试时量 100分钟 学生人数 命题教师 邹鹏贤 系主任 汤四平 交题时间:2007年 12 月23日 考试时间: 年 月 日 一、单项选择题(5×4分共计20分) 1、 设()1g x x =+,且当0x ≠时()1x f g x x -= ????则12f ?? ???的值是( )。 .0;.1;.3;.3A B C D -。 2、函数()f x 在x a =点连续是()f x 在x a =点有极值的( )。 .; .;.;.A B C D 充要条件充分条件必要条件无关条件。 3、设x x y e e -=+则()n y =( )。 ()()1.;.;.1; .1n n x x x x x x x x Ae e B e e C e e D e e -----+-+-+-。 4、函数()31y x =+在()1,2-内是( )。 A.; B.; C.; D.单调增单调减不增不减有增有减 5、下列广义积分中收敛的是( )。 +11+84 321001dx dx dx dx A.; B.; C.; D.x x x x ∞∞ ???? 二、填空(5×4分共计20分) 1、3(1)sin lim ____________x x x x →+∞+=。 2、()arctan 2_____________d x -=????。 3、2 1 21cos sin __________1x x x dx x -+=+?。 4、设()2f x x =-则()2_______f '。

《土力学》期末试卷及答案

《土力学》期末试卷及答案 一、填空题(每空1分,共20分) 1、无粘性土的性质主要取决于颗粒的粒径、级配 2、用三轴试验测定土的抗剪强度指标,在其它条件都相同的情况下,测的抗剪强度指标值最大的是固结排水剪切、试验,最小的是不固结不排水剪切试验。 3、评价粗颗粒土粒径级配的指标有不均匀系数、曲率系数和。 4、τf表示土体抵抗剪切破坏的极限能力,当土体中某点的剪应力τ=τf时,土体处 于状态;τ>τf时,土体处于状态;τ<τf时,土体处于状态。 5、桩按受力分为和。 6、用朗肯土压力理论计算土压力时,挡土墙墙背因、,墙后填土表面因。 7、桩的接头方式有、和。 8、建筑物地基变形的特征有、、和倾斜四种类型。 二、选择题(每小题2分,共10分) 1、采用搓条法测定塑限时,土条出现裂纹并开始断裂时的直径应为() (A)2mm (C) 4mm(D) 5mm 2、《地基规范》划分砂土的密实度指标是() (A)孔隙比(B)相对密度(D) 野外鉴别 3、建筑物施工速度较快,地基土的透水条件不良,抗剪强度指标的测定方法宜选用() B)固结不排水剪切试验(C)排水剪切试验(D)直接剪切试验 4、地基发生整体滑动破坏时,作用在基底的压力一定大于()。 (A)临塑荷载(B)临界荷载(D)地基承载力 5、夯实深层地基土宜采用的方法是 ( ) (B)分层压实法(C)振动碾压法(D)重锤夯实法 三、简答题(每小题5分,共20分) 1、直剪试验存在哪些缺点? 2、影响边坡稳定的因素有哪些? 3、产生被动土压力的条件是什么? 4、什么是单桩竖向承载力?确定单桩承载力的方法有哪几种? 四、计算题(共50分) 1、某土样重180g,饱和度S r=90%,相对密度为2.7,烘干后重135g。若将该土样压密,使其干密度达到1.5g/cm3。试求此时土样的天然重度、含水量、孔隙比和饱和度。(10分) 1、解:由已知条件可得原土样的三相数值为: m=180g m s=135g m w=180-135=45g V s=135/2.7=50cm3 V w=45 cm3 V v=45/0.9=50cm3 V=50+50=100 cm3 土样压密后的三相数值为:V=135/1.5=90cm3 V v=90-50=40 cm3 V w=40 cm3 m w=40g m=135+40=175g γ=175/90×10=19.4 kN/m3 w=40/135×40%=30% e=40/50=0.8

统计学试卷及参考答案

本试卷共四大题,考试时间为100 分钟。 一、单项选择题:(本大题共15 小题,每小题 2 分,共计30 分) 1. 下列变量中属于离散变量的是:() A 身高 B 体重 C 人数 D 利润 2. 某班主任想了解本班学生月生活费支出的内容,用抽签形式抽取本班10 名 同学进行调查。这种调查方式属于:() A 典型调查 B 抽样调查 C 统计报表制度 D 重点调查 3.2009 年某市生产总值7450.27 亿元,按可比价格计算,比上年增长13.6% ,达到自1996 年以来的最高水平。则() A 生产总值7450.27 亿元是数量指标,增长速度13.6% 是质量指标 B 生产总值7450.27 亿元是质量指标,增长速度13.6% 是数量指标 C 两者都是数量指标 D 两者都是质量指标 4.某企业计划规定单位生产成本比上年下降10% ,实际比上年降低15% ,则 单位生产成本计划完成相对数为:() A (1+15% )/(1+10% ) B (1-15% )/(1-10% ) C (1+15% )/(1-10% ) D 15% / 10% 5.某班同学进行的《统计学原理》期末考试中,平均分是78 分,标准差是 10 分,中位数是80 分,则该班同学本门课程成绩分布形状是() A 对称 B 右偏 C 左偏 D 无法确定 6.下列情况的统计调查,哪一种属于一次性调查() A 商品库存量 B 商品购进额 C 商品销售量 D 商品销售额 7.按人口平均计算的钢产量是() A 算术平均数 B 比例相对数 C 比较相对数 D 强度相对数

8.第六次全国人口普查的标准时点为2010 年11 月1 日0 点,11 月1 日调查

统计学期末考试试题及答案(共2套)

期末考试 统 计 学 课程 A 卷试题 一、单项选择题(在每小题的四个备选答案中选择 一个正确的答案代码填入题前括号内,每小题1分,共10分) 【 】1、甲、乙两组工人的平均日产量分别为18件和15件。若两组工人的平均日产量不变,但是甲组工人数占两组工人总数的比重上升,则两组工人总平均日产量会 A 、上升 B 、下降 C 、 不变 D 可能上升,也可能下降 【 】2、甲班学生平均成绩80分,标准差8.8分,乙班学生平均成绩70分,标准差8.4分,则 A 、 乙班学生平均成绩代表性好一些 B 、甲班学生平均成绩代表性好一些 C 、无法比较哪个班学生平均成绩代表性好 D 、两个班学生平均成绩代表性一样 【 】3、某企业单位产品成本计划在上月的基础上降低2%,实际降低了1.5%,则单位产品成本降低计划完成程度为 A 、 75% B 、 99.5% C 、100.5% D 、 133.2% 【 】4、某企业最近几批产品的优质品率P分别为85%、82%、91%,为了对下一批产品的优质品率进行抽样检验,确定必要的抽样数目时,P应选 A 、91% B 、85% C 、94% D 、82% 【 】5、一般而言,总体平均数的无偏、有效、一致估计量是 A 、样本平均数 B 、样本中位数 C 、 样本众数 D 、不存在 【 】6、单相关系数等于零时意味着变量X 与Y 之间一定 A 、无任何相关关系 B 、无线性相关关系 C 、无非线性相关关系 D 、以上答案均错误 【 】7、在右侧检验中,利用P 值进行检验时,拒绝原假设的条件是 A 、P 值> α B 、P 值>β C 、 P 值< α D 、 P 值<β 【 】8、正态总体,方差未知,且样本容量小于30,这时检验总体均值的统计量应取 A 、n S x Z 0μ-= ~N(0,1) B 、 n x Z σμ0 -= ~N(0,1) C 、)1(~)1(2 2 2 2 --= n S n χσχ D 、)1(~0--= n t n S x t μ 【 】9、原始资料平均法计算季节指数时,计算各年同期(月或季)的平均数,

统计学试题2套及答案

一、单项选择题 (每小题 2分,共 20 分) 1.社会经济统计的数量特点表现在( )。 A ?它是一种纯数量的研究 B. 它是从事物量的研究开始来认识事物的本质 C. 它是从定性认识开始以定量认识为最终目的 D. 它是在质与量的联系中研究社会经济现象的数量方面 2.若不断重复某次调查,每次向随机抽取的 100 人提出同一个问题,则每次都能得到 一个回答“是”的人数百分数,这若干百分数的分布称为: ( )。 A .总体平均数的次数分布 B .样本平均的抽样分布 C. 总体成数的次数分布 D .样本成数的抽样分布 3. 当变量数列中各变量值的频数相等时( )。 A .该数列众数等于中位数 B .该数列众数等于均值 C. 该数列无众数 D .该众数等于最大的数值 4. 描述数据离散程度的测度值中,最常用的是( )。 A .全距 B .平均差 C. 标准差 D .标准差系数 5. 计算无关标志排队等距抽样的抽样误差,一般近似采用 ( )。 A .多阶段抽样的误差公式 B .简单随机抽样的误差公式 C. 分层抽样的误差公式 D .整群抽样的误差公式 6. 将报告期两个城市物业管理费用的物价水平进行综合对比,属于( )。 D. 肯定为负数 9.若产品产量增加,生产费用不变,则单位产品成本指数 ( )。 10.下列现象中具有侠义相关系数的现象的是( )。 A .定期存款的利率与利息 B .某种商品的销售额与销售价格 C .居民收入与商品销售额 D .电视机产量与粮食产量 、多选题:( 每小题 3分,共 15分) A .强度相对数 C. 结构影响指数 B .动态相对数 D .静态指数 7.某地区商品销售额增长了 5%,商品零售价格平均增长 2%,则商品销售量增长 ( )。 A. 7% B . 10% C . 2.94% D . 3% 8.对于有线性相关关系的两变量建立的直线回归方城 Y=a+bx 中,回归系数 b ( )。 A .肯定是正数 B .显著不为 0 C .可能为0 A. 上升 B .下降 C .不变 D .不确定

湖南科技大学采矿学考试试题与答案

科技大学考试试题参考答案及评分细则 (2007 -2008 学年第一学期) 采矿学(1)课程(A卷)能源学院采矿工程系院(系)班级应试学生人数实际考试学生人数考试时量 100分钟命题教师审核人:考试时间:年月日

(10分)巷道名称:1-采区运输石门;2-采区回风石门;3-采区下部车场;4-轨道上山;5-运煤上山;6-上部车场;7、7′-中部车场;8、8′、10-区段回风平巷;9、9′-区段运输平巷;11-联络巷;12-采区煤仓;13-采区变电所;14-绞车房 (4分)运煤系统:工作面运出的煤炭,经运输平巷9、运输上山5到采区煤巷12上口,通过采区煤仓在采区运输石门1装车外运。 (4分)通风系统:采煤工作面所需的新鲜风流,从采区运输石门1进入,经下部车场3、轨道上山4、中部车场7,分成两翼经平巷8、联络巷11、运输平巷9到达工作面。从工作面出来的污风,经回风平巷10,右翼直接进入采区回风石门,左翼则需经车场绕道6进入采区回风石门。 五、简答(每小题5分,共20分) 1、简述煤层上山与岩石上山的优缺点。 答:(3分)①煤层上山,掘进速度快,联络巷工程少,费用低;超前探煤作用;当a变化时,坡度对输送机不利;需留煤柱保护;上山围岩是煤和软岩;维护条件差;上山与平巷的层面交叉,多开绕道工程;受采动影响。 (2分)②岩石上山,维护费用低;煤损少。可跨上山采,加大采面连续推进长度;生产系统可靠,通风条件好,易封闭采空区,防自燃有利;不受煤层倾角影响,可定向按坡度取直掘进;能合理处理上山与平巷的平面或立面相交工程,绕道工程量小。

科技大学考试试题参考答案及评分细则 (2007 -2008 学年第一学期) 采矿学(1)课程(B卷)能源学院采矿工程系院(系)班级 应试学生人数实际考试学生人数考试时量 100分钟命题教师审核人:考试时间:年月日

【试卷]_土力学_模拟题6套及答案

模拟题 (一) 1、简述太沙基有效应力原理。(10) 2、简述土的破坏形式,各是什么?(10) 3、用土的极限平衡理论说明说明主动土压力与被动土压力的概念。(10) 4、土坡失稳的根本原因是什么?(10) 5、粘性土和砂性土地基受荷后,其沉降特性有何不同? (10) 6、结合室内完全侧限条件下的压缩试验,推导出压缩模量Es 和压缩系数a 的关系式a e Es 1 1+= 。(15) 7、某饱和粘性土样,做室内压缩试验,试样高20mm ,以测定该土的%,0.38,/6.1831==ωγm KN 土粒比重为2.75。试求: (1)土样的初始孔隙比及饱和度? (7) (2)加上50kPa 压力后,固结度达90%的时间为8分28.8秒,试计算该土的固结系数。(按双面排水且U=90%时,Tv=0.848) (7) (3)该土样系取自地基中饱和粘土层,该饱和粘土层厚20m ,Es=3Mpa,该层顶面为砂层,试计算当在地面上一次加上大面积均布荷载Po=150kPa 后,饱和粘土层固结度达90%所需的时间?最终沉降量是多少? (11) 8、某挡土墙高 4.5m ,墙背垂直、光滑,填土表面水平。填土分两层:上层厚度 2.0m,为粉质土, ,6.6,20,/9.1810131kPa c m KN ===φγ 下层厚度2.5m, 为砂性土,,0,30,/1820232kPa c m KN ===φγ 求主动 土压力及作用点并绘出分布图。(15) 9、某一桥墩基础地面尺寸m m 0.30.3?,埋置深度为 1.0m 。作用在基础底面的轴向荷载为kN N 1600=(包括基础自重)。地基 岩土资料如下:表层土粗砂,厚1.5m ,处于密实状态,3/0.18m kN r =;其下第二层土为粘土层,厚度为1.5m, 3/0.19m kN r =, 该土层室内压缩试验结果,kPa p 1001=时,对应的孔隙比,85.01=e kPa p 2002=时对应的,79.01=e 第三层为基岩。求 解: (1)粘土层的压缩系数21-α,并判断该土的压缩性如何。(10) (2)粘土层在外荷载作用下的沉降量(以基础中心点为计算点,忽略砂土层的沉降),已知角点下的附加应力系数),( b z b l K c 为:244.0)3.0,0.1(=c K 38.1)33.1,0.1(=c K (15) 11、试谈土质土力学发展对于路基路面修建技术发展的影响。并举例说明。(20) 模拟题(一)答案 1、简述太沙基有效应力原理。(10) 答案:(1)土的有效应力等于总应力减去孔隙水压力。(5) (2)土的有效应力控制了土的变形。(5) 2、简述土的破坏形式,各是什么?(10) 答案:地基土破坏形式有三种(3),即整体剪切破坏(一般发生在密实砂土中)、局部剪切破坏(一般发生在中等密砂中)和刺入破坏(一般发生在松砂中)。(7) 3、用土的极限平衡理论说明说明主动土压力与被动土压力的概念。(10) 答案:挡土墙在墙后填土压力作用下,背离着填土方向移动,这时作用在墙上的土压力将由静止土压力逐渐减小,当墙后土体达到平衡,并出现连续滑动面土体下滑,这时土压力减至最小值,称为主动土压力。(5) 挡土墙在外力作用下,向填土方向移动,这时作用在墙上的土压力将由静止土压力逐渐增大,当墙后土体达到极限平衡,并出现连续滑动面使土体向上隆起,这时土压力增至最大值,称为被动土压力。(5) 4、土坡失稳的根本原因是什么?(10) 答案:外荷载的作用使土坡原有的应力平衡状态发生改变,丧失稳定(5);土坡在各种因素的综合作用下,使构成土坡的土体的抗剪强度降低。(5) 5、粘性土和砂性土地基受荷后,其沉降特性有何不同? (10) 答案:粘性土的透水性比砂性土的透水性差,(3)所以在受荷后初期粘性土的沉降量比砂性土的沉降量小。(7)

湖南科技大学毕业设计论文提纲范文

毕业设计论文提纲生产线平衡分析 进度安排 1.三分之二的时间做准备(包括实习、数据收集、知识储备); 2.三分之一的时间做论文; 3.先做核心部分(三、四、五章),计划1周时间; 4.做完核心部分后再添加其他章节以及封面、摘要、目录、参考文献、致谢,然后再整理 格式(包括页眉页脚等),计划1周时间; 5.核对,检查错误,修改,计划2天时间; 6.本设计要求在5月25日前完成,计划在5月15日前完成; 7.答辩时间:6月4日~12日; 8.成绩录入:6月13~15日; 9.存档:6月22日前。 提纲 第一章前言 1.1课题研究的背景 1.2国内外文献综述 1.3研究的目的和意义 1.4 本文的主要内容和结构 第二章生产线平衡基本理论 第二章参考: 生产线平衡分析改善案例(美的ppt) 2.1 线平衡定义 2.2 平衡生产线的意义 2.3 生产线平衡计算 2.4 生产线平衡分析方法 2.5 平衡改善的实施步骤 第三章吉利机舱班左前纵梁总成线现状分析 第三章参考: 1. 吉利SOM项目焊装机舱班一个流方案; 2. 平衡改善的实施步骤(美的ppt)P32~33一~七共七个步骤,八、改善目标; 3.1 机舱班现状描述 3.1.1 机舱班现状简介(参考吉利一个流方案,包括图例) 3.1.2 人员及工时情况 3.1.3 库存量及现场 3.2 问题呈现 3.3 问题分析列表 3.4 左前纵梁总成线现状描述 3.4.1 左前纵梁总成线现状简介 3.4.2 左前纵梁总成线平面布置图 3.5 改善前的生产线平衡率 3.6 改善目标 第四章左前纵梁总成线生产线平衡改善措施 第四章参考:

1. 实习笔记; 2. 吉利SOM改善案例; 3. 生产线平衡分析案例(美的ppt) 4. 科大实习生改善建议; 4.1 第一次改善 瓶颈工序(FC1-27)的“作业压缩改善”,可参照程序分析(工艺程序分析、流程程序分析)、动作分析(动作经济原则:减少动作的数量、双手同时动作、缩 短动作距离、轻松动作)等IE方法与手段。 4.2 第二次改善 瓶颈工序(FC1-24)的“作业压缩改善”,根据ECRS原则,取消不必要的动作,合并微小的动作(如合并多次拿取物料的动作,改为双手作业),重排作业工序 或动作,简化复杂动作(如弯腰动作等)。 4.3 第三次改善 采用ECRS法的“分担转移”对生产线进行改善,例如将瓶颈工序的作业内容分担给其他工序(比如让FC1-28从FC1-27夹具上取工件)。 附:瓶颈改善 1.现行方案(平面布置图、流程程序图); 2.制定改善方案(现状分析、5W1H提问分析(教材P65)、制定改善方案); 3.改进方案; 4.改善效果; 第五章改善效果确认 第五章参考: 1. 生产线平衡分析改善案例(美的ppt); 2. 打印的论文资料; 3. 吉利SOM一个流方案; 5.1 改善效果展示 5.2 改善效果评价 5.2.1 定量评价 5.2.1.1 改善前后生产线平衡图对比 5.2.1.2 改善前后各管理指标比较 5.2.2 定性评价 第六章总结 参考文献 致谢 宋湘君 2012.5.2

统计学试卷,答案

第一套 成都理工大学2006-2007学年第二学期 《统计学》期末考试试题(第二套) 参考答案及评分细则 一、单项选择题(在备选答案中只有一个就是正确的,将其选出并把它的英文标号写在题后括号内。不答题或者答错题既不得分,也不倒扣分。每题1分,共10分) 1、在研究某城市工业企业生产时,某个工业企业生产工人人数就是( B ) A 、数量指标 B 、数量标志 C 、变量 D 、标志总量 2、对全国货币发行量中占较大比重的几个大地区进行货币发行量调查,这种调查方式属于( D ) A 、普查 B 、典型调查 C 、抽样调查 D 、重点调查 3、2003年某机械车间工人的月平均工资为1200元,工具车间工人的月平均工资为1400元,2004年各车间的工资水平不变,但机械车间工人增加20%,工具车间工人增加10%,则2004年两车间工人总平均工资比2003年 ( B ) A 、提高 B 、降低 C 、不变 D 、不能做结论 4、某企业2003年完成利润100万元,2004年计划比2003年增长5%,实际完成110万元,2004年超额完成计划 ( B ) A 、104、76% B 、4、76% C 、110% D 、10% 5、某单位四年管理费用的环比增长速度为3%,5%,8%,13%,则平均发展速度为( D ) A 、4%13%8%5%3??? B 、4%113%108%105%103??? C 、 4 %13%8%5%3???-1 D 、 4%113%108%105%103???-1 6、若同样多的人民币多购买商品3%,则物价: ( C ) A 、下降3% B 、上升3% C 、下降2、91% D 、不变 7、就是非标志的方差,其最大值就是( D )。 A 、1 B 、1/2 C 、1/3 D 、1/4 8、在回归分析中,要求两变量 ( B ) A 、都就是随机变量 B 、自变量就是确定性变量,因变量就是随机变量 C 、都就是确定性变量 D 、因变量就是确定性变量,自变量就是随机变量 9、无偏性就是指( A ) A 、抽样指标的平均数等于被估计的总体指标 B 、当样本容量n 充分大时,样本指标充分靠近总体指标 C 、随着n 的无限增大,样本指标与未知的总体指标之间的离差任意小的可能性趋于实际必然性 D 、作为估计量的方差比其她估计量的方差小 10、在一定的抽样平均误差条件下 ( A ) A 、扩大极限误差范围,可以提高推断的可靠程度 B 、扩大极限误差范围,会降低推断的可靠程度 C 、缩小极限误差范围,可以提高推断的可靠程度 D 、缩小极限误差范围,不改变推断的可靠程度

相关主题
文本预览
相关文档 最新文档