当前位置:文档之家› Avamar简明操作指南

Avamar简明操作指南

Avamar简明操作指南
Avamar简明操作指南

EMC Avamar简明操作指南

V2.0

2011年元月

目录

第1章 Avamar概述 (2)

第2章安装Avamar Console (3)

第3章 Client安装和注册(激活) (4)

3.1 Windows客户端注册 (5)

3.2 LINUX客户端注册 (5)

3.3 AIX客户端注册 (6)

3.4 从客户端手工发起备份 (7)

3.5 Windows客户端软件卸载 (7)

第4章配置备份任务 (8)

4.1 组的概念 (8)

4.2 创建Dataset—备份数据集 (8)

4.2.1 文件dataset的创建 (8)

4.2.2 SQL Server数据库 dataset的设置 (9)

4.3 创建schedule—备份安排 (10)

4.4 创建Retention--备份数据的保存期 (11)

4.5 创建Group—备份组 (11)

第5章 0n-demand备份 (14)

第6章数据恢复 (17)

6.1 文件恢复 (17)

6.2 SQL Server数据库恢复 (18)

第7章备份的日常监控和维护 (18)

7.1 检查Avamar服务器状况 (18)

7.2 检查Avamar的容量变化 (21)

7.3 Avamar服务器的log (21)

7.4 检查和创建Checkpoint (22)

第8章单节点AVAMAR的关机和开机 (22)

8.1 关机 (22)

8.1.1 停止avamar服务 (22)

8.1.2 关机 (25)

8.2 开机 (25)

第9章 EMC Support支持 (26)

第1章 Avamar概述

EMC Avamar是一个包含软件和硬件的充分集成的备份和恢复解决方案,包括重复数据消除功能。Avamar 的重复数据消除从要备份的客户端开始。Avamar 在子文件级检测数据的更改。如果 Avamar 以前已备份某数据,将不再备份它。这使备份期间通过网络传输的数据量减至最少,从而节省了大量带宽。

Avamar 可以跨客户端/ 站点消除重复数据。这意味着,如果子文件数据块出现在受保护环境中的多个位置,Avamar 将只对它备份一次——但在恢复过程中允许任何需要该数据块的客户端进行访问。跨客户端的全局重复数据消除大大减少了备份的存储需求。而且,通过提供完整解决方案(包括存储、服务器、软件和支持),EMC 方便了客户,让他们只需要与一家成熟的供应商打交道即可解决其备份和恢复需要。

Avamar有多个型号——从单节点到可扩展的多节点配置,它们在功能上完全相同,只是在容量、可升级性和高可用性选项上有所差别。Avamar支持功能包括远程访问和管理,还有系统级的“给总部发邮件”功能,其中包括可以通过 SNMP 与管理框架集成的诊断功能。再加上针对受保护客户端的 Avamar 代理,提供了一个完整、预组包并经过测试的备份和恢复解决方案。

第2章安装Avamar Console

Console可以在windows和linux机器上安装,在你需要安装console的机器上找到hosts文件,打开,添加以下一行,如:

200.200.100.25 https://www.doczj.com/doc/6c1679683.html,

保存后退出。

再打开IE输入:

http://your avamar server host name

例如:

https://www.doczj.com/doc/6c1679683.html,

或者Avamar服务器的IP地址,上面https://www.doczj.com/doc/6c1679683.html,为Avamar服务器的名称,其IP地址为200.200.100.25

例如我们可以输入IP地址:

http://200.200.100.25/

出现下图:

用鼠标拉到屏幕的底端,选择最下面的documents and downloads,如下图:鼠标双击documents and downloads,出现下图:

右边是所有Avamar的文档,你可以下载到本地。左边是所有Avamar的软件,包括各个平台的agent和控制台Administrator Console等。

如果我们用windows(32位)做console平台,我们需要找到windows for

X86(32 bit)下的microsoft windows 2000,xp,2003,vista,鼠标双击它,得到下图:

安装console之前,需要先安装JRE-1 5 0,如上图,选择“jre-1 5 0

12-windows-i586-p.exe”,下载到本地后再安装。

接下来选择“AvamarConsoleMulitiple-windows-X86-4.1.0-1470.exe”,下载到本地后再安装。

如果是Linux平台,选择linux的JRE和Console下载,然后用rpm安装。在linux 上需要执行以下命令:#mcgui 才能进入Console界面。

对于windows平台,安装好后,在Windows上有avamar administrator的图标,直接双击就可以进入console界面。或者通过“开始所有程序 AVS administrator 5.0.3.29 Avamar administrator”,如下图:出现如下登录界面,输入用户名和密码(缺省是MCUser/MCUser1),Administrator Server输入Avamar的名称或IP地址:

进入管理界面,如下图:

鼠标点击“administration”,出现下图:

第3章 Client安装和注册(激活)

注意:先在客户端机器上ping avamar server的主机名,例如#ping https://www.doczj.com/doc/6c1679683.html,,看是否能ping 通,如果能ping通,可以不用修改hosts文件,如果不能ping通,需要在avamar备份服务器和客户端的hosts文件中分别加上对方的名称和IP地址,尽量写全,如果不写,客户端注册时可能会遇到麻烦,备份时很有可能报10008 code错误。修改hosts文件的操作如下:

在avarmar Server的hosts文件中加入备份客户端的IP地址和名称:如下图,10.201.1.131是客户端的IP地址。

在客户端增加Avamar Server的ip和名称:

如下图举例,10.201.1.226是Avamar Server的IP地址。

安装Client:

Windows的客户端安装很简单,登录到AVAMAR服务器上点击安装即可,只是注意如果要安装Console,需要先安装Java。

Linux的客户端也还简单,但要有图形界面和火狐浏览器,如果没有,可以先下载到windows机器上,然后通过ftp传到linux服务器上进行安装。

安装命令如下:

#rpm -ivh AVAMARLINUX.rpm

以下表示安装成功:

########################################### [100%]

########################################### [100%]

Installation complete

You may run /usr/local/avamar/bin/avregister to register and activate this

client with the Administrator server.

avagent Info <5241>: Logging to /usr/local/avamar/var/avagent.log

avagent Info <5417>: daemonized as process id 2066

avagent.d Info: Client Agent started.

AIX与LINUX同样可以在命令界面执行安装程序:

#cd /tmp

#geninstall -d AVAMARAIX.bff all

WINDOWS CLIENT安装后可以在屏幕右下角看到一个蓝色的“A”的图标,鼠标双击它,出现下图:

安装完后,可以到任务管理器和服务中查看进程和服务是否起来:

如下图:“Backup Agent”

如下图“avagent.exe”和“avscc.exe”

安装好后,要进行注册(激活),只有注册到Avamar服务器后,才能备份和恢复。

3.1 Windows客户端注册

如下图,选择“Activate”注册

在下图的“Administrator Server Address”中输入Avamar Server的主机名或IP地址,例如“https://www.doczj.com/doc/6c1679683.html,”;

在“Client Domain”中输入此客户端需要加入的域,例如下图的

“/DCServer/SharePoint”, /DCServer/SharePoint是Avamar域的名称。如果你的备份客户端数量不多,可以不用建域,就用clients域,此时你就在“Client Domain”填入clients就可以。

填好后用鼠标点击“Activate”,进行注册。

3.2 LINUX客户端注册

以root用户登录到linux客户端机器,执行以下命令:

#/usr/local/avamar/bin/avregister

出现以下信息:

The following appears in the command shell:

=== Client Registration and Activation

This script will register and activate the client with the Administrator Server :

Enter the Administrator server address (DNS text name, not numeric IP address): (说明:在此处输入Avamar节点服务器名称或ip地址,例如https://www.doczj.com/doc/6c1679683.html,或200.200.100.25)

Enter the Avamar server domain [clients]: (说明:在此处填入此客户端需要加入的avamar的域,缺省是Clients 域,例如你可以填入“oracle”,oracle 是你在avamar 管理界面中事先建好的一个domain域。也可以直接按回车,接受缺省的clients域)

出现以下信息说明已成功注册:

The following appears in the command shell:

avagent.d Info: Client Agent stopped. [ OK ]

avagent Info <5241>: Logging to /usr/local/avamar/var/avagent.log avagent.d Info: Client activated successfully. [ OK ]

avagent Info <5241>: Logging to /usr/local/avamar/var/avagent.log avagent Info <5417>: daemonized as process id 3385

avagent.d Info: Client Agent started. [ OK ]

Registration Complete.

如下图:

3.3 AIX客户端注册

#/usr/local/avamar/bin/avregister

出现下面的注册界面:

=== Client Registration and Activation

This script will register and activate the client with the Administrator

server.

Enter the base directory of the Avamar Client installation [/usr/local/avamar]:

4. Press ENTER to accept the default base installation directory.

The following appears in the command shell:

Enter the Administrator server address (DNS text name, not numeric IP address)://Avamar节点服务器名称或ip 地址,例如:https://www.doczj.com/doc/6c1679683.html,

5. Type the actual network hostname (as defined in DNS) of your Avamar Administrator server and press ENTER.

The following appears in the command shell:

Enter the Avamar server domain [clients]: //可填入你的domain。例如oracle

注册后,回到Avamar Server 的Console界面,进入“administration”,在“Account Management”条目下,可以在你的Avamar域下看到你注册后的客户端主机名,鼠标右击此客户端,得到下拉菜单,可以选择“Move Client”,将此客户端迁移到其他Avamar域中:

或者可以选择“Edit Client”,加入此Client的一些信息,例如联系人,emial地址,电话号码和物理位置:

你也可以选择“Retire”,将此客户机“退役”,客户机“退役”后,将不

再备份,但以前备份的数据,只要没有过保存期,还可以恢复:

你也可以删掉客户端:

3.4 从客户端手工发起备份

你可以登录需要备份的客户端,打开客户端界面,如下图,选择“Action”下拉菜单中的“Backup Now”

然后选择需要备份的文件,进行备份。

3.5 Windows客户端软件卸载

如果需要卸载Windows客户端软件,需要登录到Windows客户端机器,在“控制面板”中选择“添加和移走程序”如下图,选择“Backup for Windows”,选择“Remove”:

卸载后需要删除Avamar安装目录,并重启Windows服务器。

配置备份任务

3.6 组的概念

组是自动备份的发起者。创建组之前要先在自己的域(Domain)创建dataset,schedule,retention,以备组调用。创建组时需要选择相应的dataset,schedule,retention,和客户端机器,只能选择根域(/)或本域属下的dataset,schedule,retention和Clients;不能选择别的域下的这些资源。

一个客户端可以属于不同的组,备份不同的内容,采用不同的保存期和作业时间。下面分别介绍创建dataset,schedule,retention和Group。

3.7 创建Dataset—备份数据集

3.7.1 文件dataset的创建

点击“Navigation”,从下拉菜单中选择“Policy”,如下图:

选择“Tools”,再选择“Manage Datasets…”,如下图:

选择你希望将Dataset放在那个域下,就选择那个域,例如下图的“Accpac”域,鼠标点击“Accpac”域使其保持蓝色,然后用鼠标点击右上角的“New”:在下图的“Name”中填入“file-test”(dataset的名称),点击“Enter Explicitly”,从“Select Plug-in Type”的下拉菜单中选择“Windows Files System”,如下图:

将“Select Files and/or Folders”下面的圆形白框,用鼠标点上黑点,再用鼠标点开其右边的“….”框,如下图:

下图从左边框中,选择你要备份的客户端机器,例如/DCServer/Accpac域下的“https://www.doczj.com/doc/6c1679683.html,”机器,用鼠标点击此机器,此时从右边框中出现“Windows File System”,点开其旁边的+号,可以看到树形目录,选择需要备份的文件或目录。点击文件或目录前面的空白框,使其打上勾。最后用鼠标点击“ok”。

现在上面刚选的目录或文件就加到下图下半部的白色框中,接下来我们需要将此白色框中缺省的文件目录去掉,只剩下刚才我们加的目录。用鼠标选上缺省

目录,例如下图的“All local AIX filesystems”,使其为蓝色,然后用鼠标点击其右上的减号,将此目录移走。依此类推,将不需要的目录从此白框中移走。最后剩下我们需要备份的目录和文件。

点击“Exclusions”,可以排除某一类文件的备份,例如在“Select Files and/or Folders”下面的白框中填入“*.jpg”,然后按下面的加号:“*.jpg”就被加到白框中,如下图:

点击“Inclusions”,指的是在排除的文件中,需要备份的文件,例如虽然我们指定所有“*.jpg”的文件不备份,但在这里我们可以设一个例外,要求“boss.jpg”必须备份。如下图,输入“boss.jpg”,然后按下面的加号:“boss.jpg”被加入到需要备份的文件中,如下图:

点击上图的“OK”键,再选择“Options”,出现下图:

在“Backup Windows System State”前面打勾,表示要备份Windows系统文件。

用鼠标点击左下角的“show advance options”,可以打开高级选项功能。

在“Enable debugging messages”前打勾,表示打开此备份的“debug”,以便得到更多的诊断信息。但需要记住,备份故障排除后,需要将此勾去掉,以免产生太多的log,占用磁盘空间。

如下图,可以在备份前后添加脚本,“pre-script.bat”表示备份前执行的脚本文件名的名称,“post-script.bat”表示备份后执行的脚本文件名的名称,所有脚本文件必须放在备份客户端的c:\program

files\avs\etc\scripts(windows)或/usr/local/avamar/etc/scripts(linux或unix)目录下。

以上设置好后,点击“OK”键,可以看到在“Accpac”域下,新增加了“file-test”的dataset,如下图:

检查上图中右边框中的设置,如果无误,即可点击OK键退出dataset的设置。

3.7.2 SQL Server数据库 dataset的设置

与文件dtatset的创建过程类似,只是选择的内容有所不同,例如我们要在Accpac域下建一个“SQL-test”的dataset,在“Select Plug-in Type”中,我们要选择“Windows SQL”,如下图:

点击右边中部的“….”按钮:

如下图,在左边框中选择SQL Server数据库服务器,在右框中,点开“Windows SQL”前的加号,最右边将显示所有的数据库名称,在需要备份的数据库前的白框中打勾:

选择OK键,选中的“01849A”和“01849B”两个数据库就出现在下图的白框中:

将白框中缺省的目录移走:

只剩下两个数据库:

选择“Options”,再选择“Windows SQL”,如下图:

选择左下角的“show advance options”,如下图:

上图中,如果是SQL数据库是采用NT帐号授权模式,就保持缺省的模式,“Use NT authentication”前打上勾;如果SQL是只采用数据库授权认证模式,我们就需要事先在数据库中建好备份帐号,在此处输入,如下图,将“Use NT authentication”前的勾去掉;将SQL server address的ip地址输入,在SQL login ID的白框中输入SQL备份帐号“backupadmin1”,SQL password的白框中输入帐号的密码:

下图中,在“Truncate database log”右边的白框中有三个选项,如果SQL Server数据库已经打开了事务日志归档模式,我们选择第一项“Default log Truncation”;如果SQL Server数据库没有打开事务日志归档模式,我们选择第三项“Suppress log Truncation”,如下图:

完成后,点击OK键。可以看到在Accpac域下多出了“SQL-test”的dataset,并检查设置,一切OK后,点击OK键完成设置:

3.8 创建schedule—备份安排

选择“Tools”,选中“Manage All Schedule”,选择你的域,再选择“New”,如下图:

在下图的“Name”中填入Schedule的名称“vm-schedule-test”,选择“weekly”,选择备份最早开始时间,在Earliest start time下的白框中选择“10:00 下午”,如下图所示选择好你的参数:

点击OK键,得到我们新建的Schedule:

3.9 创建Retention--备份数据的保存期

选择“Tools”,选中“Manage All Retention Policies”,选择你的域,再选择“New”,如下图:

在下图的“Name”中填入Retention的名称“vm-retention-test”,选择Retention period,在其右边的白框中填入1,在其右的下拉菜单中选择“days”,如下图:

点击OK键,就建好了我们的retention,如下图:

3.10 创建Group—备份组

鼠标右击你的域,从下拉菜单中选择“New Group”,如下图:

在下图“Name:”下的白框中填入组的名称“simahui-caocao-sus-test”,如下图:

上图,鼠标点击“Next”,如下图,在“Select An Existing Dataset”的下拉框中选择我们刚刚建的“DCServer/ESX/caocao-test-sus”:点击“Next”,如下图,在“Select An Existing Schedule”中,选择我们刚才建的Schedule“DCServer/ESX/vm-schedule-test”:

再点击“Next”,如下图,在Select An Existing Retention Policy的下拉菜单中选择“/DCServer/ESX/vm-retention-test”:

点击“Next”,如下图,选择备份的Client,使其为蓝色,最后点击“Finish”:

如下图,在ESX域下,我们从右边的列表中可以看到我们刚刚将好的备份组“simahui-caocao-sus-test”:

第4章 0n-demand备份

我们可以在Server端手工发起备份作业,称之为0n-demand备份。

如下图,选择“Backup&Restore”:

在“Actions”下拉菜单中选择“Backup Now”:

选择要备份的域,客户端和文件目录,如下图:

选择“Backup Now”,开始发起手工备份,如下图:

选择此备份数据要保存的周期和是否加密:

选择“Navigation”下拉菜单中的“Activity”,观察备份的状态,如下图:

我们也可以对一个备份组发起手工备份,如下图,选择“Navigation”下拉菜单中的“Policy”:

选择域下的备份组,使其为蓝色,点击右上角的“Back Up”按钮,如下图:第5章数据恢复

5.1 文件恢复

如下图,选择“Backup&Restore”:

选择“Select for Restore”,选择备份的客户端,选择需要恢复的文件或目录:

在“Actions”下拉菜单中选择“Restore Now…”,如下图:

如果将文件回复到原始位置,请选择“Restore everything to its original location”;如果将文件恢复到其它机器的其它位置,我们可以选择“Restore everything to a different location”,且点击“Browse”按钮,选择要恢复到的目的地;在“Restore Plug-in”的下拉框中选择“Windows File System”,如下图:

选择恢复的目的地:

点击上图的“More Options”,得到更多的选项,如下图,在“Overwrite existing files”的下拉框中选择当恢复位置存在要恢复的文件时,是否要覆盖原文件。“Never”表示“从不覆盖原文件”;“Always”表示“总是覆盖原文件”;“Generate new name”表示“用一个新的文件名来恢复文件”;“if Modified”表示“如果备份文件是原文件的修改版,将覆盖原文件”;“if Newer”表示“如果备份文件比原文件的更新,将覆盖原文件”。

在“Restoration of open files”的下拉框中选择当恢复的文件正在被打开时,是否要恢复原文件。“Never”表示“不恢复原文件”;“Defer until Reboot”表示“推迟到下次重启的时候恢复原文件”;“Generate new name”表示“用一个新的文件名来恢复文件”;“if Newer defer until Reboot”表示“如果备份文件比原文件的新,将在下次重启后恢复原文件”。

选择是否恢复隐藏的文件和目录,缺省是恢复隐藏的文件和目录:对于

windows的系统文件,需要选择“Restore folders and files with SYSTEM attribute”,点击ok开始恢复文件。

5.2 SQL Server数据库恢复

数据库恢复的前提条件是,要按原安装配置重新安装SQL 数据库(如果原数据库已经损坏),数据库的安装目录需要与原数据库安装目录一样,数据库名也必须与原数据库一样。恢复数据库时,需要将此数据库“offline”脱机或分离。

在“Restore Plug-in”下拉菜单中,我们需要选择“Windows SQL”,然后点击OK恢复。如下图:

第6章备份的日常监控和维护

6.1 检查Avamar服务器状况

如下图,选择“Server”:

选择“Server Monitor”,看到各个数据节点的健康状况,绿色表示健康:选择“Server Management”,可以看到容量的使用情况:

选择“Checkpoint Management”,每天Avamar自动做两次Checkpoint,并对其中的一次Checkpoint做“Validated”校验,完成“Validated”的Checkpoint 前面会打上勾,没有做“Validated”的Checkpoint前面会打上问号。如下图所示,每天检查Checkpoint的状况,如果出现问题,立即与EMC Support联系。

选择“Navigation”下拉菜单中的“Activity”,观察备份的状态,如下图:特别要注意Failed的备份任务:

双击选中的备份条目,出现下图的备份信息log:

你可以将此log信息“Export”导出,存到本地,如下图,选择“Export”按钮:

modelsim完美教程

准备事项 1.ModelSim试用版下载 2.范例程序下载(史丹佛大学一门课的期末专题Implememtation of Viterbi Decoder:constrain length K=3, code rate R=1/2, register-exchange) 整个project共含7个Verilog程序:system.v (top-level) |-- clkgen.v |-- chip_core.v |-- controller.v |-- spu.v |-- acs4.v |-- acs1.v (或是另外一个Verilog的简单例子,可以从C:\ SynaptiCAD\ Examples\ TutorialFiles\ VeriLoggerBasicVerilo gSimulation\ add4.v and add4test.v) (或是另外一个VHDL的简单例子,可以从C:\ Modeltech_5.7e\ examples\ adder.vhd and testadder.vhd) ModelSim PE /LE /SE 差别在哪? 本篇文章内容主要在教导软件使用,以Verilog程序为范例。假设各位读者已经熟悉Verilog,废话不多说,让我们马上来见识一下ModelSim ... 快速上手四部曲:建立Project、引进HDL Files、Compile、模拟(Simulate/Loading and Run) 1.建立一个新的Project 1-1 第一次执行程序时,可以从[开始] \ [程序集] \ ModelSim SE \ ModelSim;或是执行ModelSim在桌面的快捷方式

ModelSim软件仿真步骤教程

使用ModelSim模擬驗證HDL code 1.在模擬前先準備好我們要模擬的電路檔案(Verilog HDL,TestBench,…) 2. 打開ModelSim,新建一個Project,鍵入Project name 按OK。此處我們的library name 為default library name “work”不必更改。 3.然後再加入我們所要模擬的電路檔案(若尚未準備,可開啟新檔案再將code 鍵入)選Add Existing File,將我們已編輯好的檔案加入。 將我們所需要的檔案加入,按Browse選擇我們所需檔案count.v,

count_test.vt),按下OK。 再將先前所開啟的增加檔案的視窗關閉,按close。 4.按下compile all。

Compile成功沒有顯示出錯誤訊息, 則開始模擬波形 5.按下Simulation, 選擇檔案所在的Library (work), 點選TestBench的Module Name t_Gap_finder 按OK 6.承接上步驟將會跳出以下視窗,若要將所有訊號加入波型中觀察則選擇在 testbench的module name: count_tst按滑鼠右鍵選擇→ Add → Add to Wave。

7.在波型畫面按下Run All開始模擬 跑完後會跳出下面視窗選擇否則可觀察模擬波形,若按下是則會將ModelSim關閉。

8.觀察波形圖是否與功能符合,若與設計不符則修改設計並重複執行Step 4到 Step 8 Testbench語法 `timescale 1 ps/ 1 ps 前面的1ps代表程式中最小的時間單位 後面的1ps代表運算的精準度

modelsim新手入门仿真教程

Modelsim新手入门仿真教程 1.打开modelsim软件,新建一个library。 2.library命名 3.新建一个工程。

3.出现下面界面,点击close。 4.新建一个verilog文件 键入主程序。下面以二分之一分频器为例。

文件代码: module half_clk(reset,clk_in,clk_out); input clk_in,reset; output clk_out; reg clk_out; always@(negedge clk_in) begin if(!reset) clk_out=0; else clk_out=~clk_out; end endmodule 编辑完成后,点击保存。

文件名要与module后面的名称相同。 5.再新建一个测试文件,步骤同上面新建的主程序文件,文件名后缀改为.vt 程序代码如下: `timescale 1ns/100ps `define clk_cycle 50 module top; reg clk,reset; wire clk_out; always #`clk_cycle clk=~clk; initial

begin clk=0; reset=1; #10 reset=0; #110 reset=1; #100000 $stop; end half_clk m0( .reset(reset), .clk_in(clk), .clk_out(clk_out)); Endmodule 6.添加文件,编译文件 先右键点击左边空白处,选择add to project→existing File 选择刚刚新建的两个文件。按ctrl键可以同时选择两个,选择打开,下一步点击ok

ModelSim新手使用手册

ModelSim最基本的操作,初次使用ModelSim的同学,可以看看,相互学习。 无论学哪种语言,我都希望有个IDE来帮助我创建一个工程,管理工程里的文件,能够检查我编写代码的语法错误,能够编译运行出现结果,看看和预期的结果有没有出入,对于Verilog语言,我用过Altera的Quartus II,Xilinx的ISE,还有ModelSim(我用的是Altera 官网的ModelSim_Altera),甚至MAXPlus II,不过感觉这软件太老了,建议还是前三者吧。 学Verilog,找一本好书很重要,参考网友的建议,我也买了一本夏宇闻老师的《Verilog 数字系统设计教程》,用Quartus II来编写代码,个人觉得它的界面比ISE和ModelSim友好,我一般用它编写代码综合后自动生成testbench,然后可以直接调用ModelSim仿真,真的很方便,但学着学着,发现夏老师书里的例子很多都是不可综合的,比如那些系统命令,导致很多现象都发现不了,偶然间我直接打开了ModelSim,打开了软件自带的英文文档,步骤是:Help ->PDF Documentation->Tutorial如下所示: 打开文档的一部分目录: 往下读发现其实ModelSim可以直接创建工程,并仿真的。下面以奇偶校验为例叙述其使用过程(当然前提是你在Altera官网下载了ModelSim并正确安装了)。 1.打开软件,新建一个工程,并保存到自定义的目录中(最好别含中文路径) 2.点击Project,弹出窗口问是否关闭当前工程,点击Yes,接着又弹出如下窗口

我个人的习惯是把Project Name和Default Library Name写成一样,自己定义Project Location。又弹出如下窗口: 3.点击Close(我的版本不能Create New File,其实新建好了工程一样可以新建.v文件),然后点击屏幕下方的Project标签: 如果一开始不是如上图所示的界面,那么可以点击如下图所示红色标记的按键变成上图界面:

modelsim详细使用教程(一看就会)

Modelsim详细使用方法 很多的modelsim教程中都讲得很丰富,但忽视了对整个仿真过程的清晰解读,而且都是拿counter范例举例子,有些小白就不会迁移了。这里我们着眼于能顺利的跑通一个自己写的程序,一步一步的讲解,如果你是一个初学者,这再适合你不过了,虽然貌似字写得比较多,那是因为写得相当的详细,一看就会啦O(∩_∩)O~ 一、建立工程 1、在建立工程(project)前,先建立一个工作库(library),一般将这个library命名为 work。尤其是第一次运行modelsim时,是没有这个“work”的。但我们的project 一般都是在这个work下面工作的,所以有必要先建立这个work。 File→new→library 点击library后会弹出一个对话框,问是否要创建work,点击OK。就能看见work.

2、如果在library中有work,就不必执行上一步骤了,直接新建工程。 File→new→project 会弹出 在Project Name中写入工程的名字,这里我们写一个二分频器,所以命名half_clk,然后点击OK。 会出现

由于我们是要仿一个自己写的程序,所以这里我们选择Create New File。 在File Name中写入文件名(这里的file name和刚刚建立的project name可以一致也可以不一致)。注意Add file as type 要选择成Verilog(默认的是VHDL),然后OK。 发现屏幕中间的那个对话框没有自己消失,我们需要手动关闭它,点close。 并且在project中出现了一个half_clk.V的文件,这个就是我们刚刚新建的那个file。 这样工程就建立完毕了。 二、写代码: 1、写主程序:双击half_clk.v文件会出现程序编辑区,在这个区间里写好自己 的程序,这里我们写一个简单的二分频的代码: module half_clk_dai( clk_in, rst, clk_out ); input clk_in; input rst;

modelsim使用教程6.0

Modelsim 6.0 使用教程 1. Modelsim简介 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim就可以用,它假设使用者对当前操作的前期准备工作都已经很熟悉,所以初学者往往不知道如何做当前操作的前期准备。 2.安装 同许多其他软件一样,Modelsim SE同样需要合法的License,通常我们用Kengen产生license.dat。 ⑴.解压安装工具包开始安装,安装时选择Full product安装。当出现Install Hardware Security Key Driver时选择否。当出现Add Modelsim To Path选 择是。出现Modelsim License Wizard时选择Close。 ⑵.在C盘根目录新建一个文件夹flexlm,用Keygen产生一个License.dat,然后 复制到该文件夹下。 ⑶.修改系统的环境变量。右键点击桌面我的电脑图标,属性->高级->环境变量-> (系统变量)新建。按下图所示内容填写,变量值内如果已经有别的路径了, 请用“;”将其与要填的路径分开。LM_LICENSE_FILE = c:\flexlm\license.dat

Modelsim的仿真教程

ModelSim的仿真 1.仿真的分类 仿真过程是正确实现设计的关键环节,用来验证设计者的设计思想是否正确,及在设计实现过程中各种分布参数引入后,其设计的功能是否依然正确无误。仿真主要分为功能仿真和时序仿真。功能仿真是在设计输入后进行;时序仿真是在逻辑综合后或布局布线后进行。1). 功能仿真( 前仿真) 功能仿真是指在一个设计中,在设计实现前对所创建的逻辑进行的验证其功能是否正确的过程。布局布线以前的仿真都称作功能仿真,它包括综合前仿真(Pre-Synthesis Simulation )和综合后仿真(Post-Synthesis Simulation )。综合前仿真主要针对基于原理框图的设计; 综合后仿真既适合原理图设计, 也适合基于HDL 语言的设计。 2). 时序仿真(后仿真) 时序仿真使用布局布线后器件给出的模块和连线的延时信息,在最坏的情况下对电路的行为作出实际地估价。时序仿真使用的仿真器和功能仿真使用的仿真器是相同的,所需的流程和激励也是相同的;惟一的差别是为时序仿真加载到仿真器的设计包括基于实际布局布线设计的最坏情况的布局布线延时,并且在仿真结果波形图中,时序仿真后的信号加载了时延,而功能仿真没有。 后仿真也称为时序仿真或者布局布线后仿真,是指电路已经映射到特定的工艺环境以后,综合考虑电路的路径延迟与门延迟的影响,验证电路能否在一定时序条件下满足设计构想的过程,是否存在时序违规。其输入文件为从布局布线结果中抽象出来的门级网表、Testbench 和扩展名为SDO 或SDF 的标准时延文件。SDO 或SDF 的标准时延文件不仅包含门延迟,还包括实际布线延迟,能较好地反映芯片的实际工作情况。一般来说后仿真是必选的,检查设计时序与实际的FPGA 运行情况是否一致,确保设计的可靠性和稳定性。2.仿真的作用 1).设计出能工作的电路:因此功能仿真不是一个孤立的过程,其和综合、时序分析等形成一个反馈工作过程,只有这个过程收敛,各个环节才有意义。而孤立的功能仿真通过是没有意义的,如果在时序分析过程中发现时序不满足需要更改代码,则功能仿真必须从新进行。因此正确的工作流程是:

modelsim仿真教程

本教程使用软件的下载链接如下: https://www.doczj.com/doc/6c1679683.html,/download/quartus_modelsim_setup.zip Molelsim仿真使用教程 ——利用quartus生成网表文件Mentor公司的ModelSim是业界最优秀的HDL语言仿真软件,它能提供友好的仿真环境,是业界唯一的单内核支持VHDL和Verilog混合仿真的仿真器。它采用直接优化的编译技术、Tcl/Tk技术、和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核,个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件,接下来以一个简单的例子介绍modelsim的基本使用方法。 第一步:建立工程,该过程与quartus使用的教程大部分是一样的,区别如下: 在simluation选项中选择MoselSim-Altera作为仿真工具,fomat中的选项根据编程语言进行选择,本教程以VHDL为例。 第三方的仿真工具 所以在此选择

第二步:新建文件: 新建一个源文件,保存为led.vhd library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity led is port(led_out : out std_logic_vector(7 downto 0); clk : in std_logic; rst_n : in std_logic ); end led; architecture behavior of led is signal light : std_logic_vector (7 downto 0); begin process(clk,rst_n) begin if(rst_n = '0')then light <= "00000010"; elsif(clk'event and clk ='1' )then if(light = "10000000") then light <= "00000001"; else light <= light(6 downto 0)& '0'; end if; end if; end process; led_out <= light; end behavior; 新建一个testbench 文件,保存为testbench.vhd(testbench是电路的激励文件,在后面章节会详细介绍,这里仅仅作为软件的演示不具体介绍testbench的设计过程): library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity testbench is end testbench; architecture behavior of testbench is

ModelsimSE仿真步骤(优选.)

WILDSC ModelsimSE仿真教程 Verilog & VHDL 孙玉阳 2014/6/3 对于ModelsimSE仿真设置网上很难找到详细教程,在此写一篇基于Verilog 和VHDL的ModelsimSE的仿真设置教程,以期缩短大家ModelsimSE学习时间,由于时间仓促,不足之处还请见谅。

目录 1 ModelsimSE仿真——Verilog HDL (2) 1.1 建立资源库 (2) 1.1.1 建立资源库的目的 (2) 1.1.2 建立资源库前准备 (2) 1.1.3 建立资源库的步骤 (3) 1.2 功能仿真 (11) 1.2.1 建立ModelsimSE工程 (11) 1.2.2 添加Quartus工程文件 (12) 1.2.3 编译 (14) 1.2.4 仿真 (18) 1.3 时序仿真 (21) 2 ModelsimSE仿真——VHDL (25) 2.1 建立资源库 (25) 2.1.1 建立资源库的目的 (25) 2.1.2 建立资源库前准备 (25) 2.1.3 建立资源库的步骤 (26) 2.2 功能仿真 (33) 2.2.1 建立ModelsimSE工程 (33) 2.2.2 添加Quartus工程文件 (34) 2.2.3 编译 (37) 2.2.4 仿真 (40) 2.3 时序仿真 (44)

1ModelsimSE仿真——Verilog HDL 1.1建立资源库 1.1.1建立资源库的目的 Quartus Verilog工程文件里面在使用Primitives、Megafunction、LPM等Quartus自带模块时,会调用Quartus本身自带的一些库文件,但是ModelsimSE在仿真Quartus Verilog工程文件时不会自动去调用Quartus的库文件,同时ModelsimSE也不自带与Primitives、Megafunction、LPM相关的库文件。所以在仿真Primitives、Megafunction、LPM等模块时,必须在ModelsimSE里建立与其对应的资源库,否则无法仿真。 1.1.2建立资源库前准备 (备注:若需要后仿真(时序仿真)则进行这一步骤,若只进行前仿真(功能仿真)则跳过此步骤): 打开待仿真的quartus工程,点击菜单Assignments->Settings,打开如下窗口 将以下红色部分设置好,先点击apply,然后点击OK即可

ModelSim简明使用教程

ModelSim6.1入门教程 因为本人在初学Verilog 编程语言时,觉得很难上手的是使用ModelSim ,所以今天和大家交流一下,好了,闲话不多说了,我们开始吧! 1,打开ModelSim 的画面如下: 标准的windows 界面,最上面是菜单栏,以及菜单栏的一些快捷方式。左边是工作空间,里面显示的是一些库文件。右边是编辑区,最下面是交互区,可以通过命令完成所有的操作。我们在此只讲一些基本的命令输入,以完成仿真。 2 ,建立工程,如图所示: 单击Project 之后,画面如下:菜单快捷栏 工作空间栏编辑栏 仿真交互栏

在图中Project Name中输入AND_2,这也是我们建立的第一个工程,路径选择如下图所示,默认库名我们用默认的work。 这一步完成之后,点击ok,如下图:

单击ok后,如下图: 其实应该没有右边的那个Creat Project File选择框,只有左边的Add items to the Project,呵呵,在此偷懒了。单击Add items to the Project中的Creat New File(如果你已经写好了代码,可以通过点击Add Existing Flie添加),如下图: 在File Name中输入AND_2,在Add file as type选项中选择Verilog,其他的使用默认即可。单击ok。

在工作空间中添加了名为AND_2的文件,如图。状态是“?”,说明没有通过编译。其实咱还没开始写代码呢!好了,现在开始正式写代码了。双击图中AND_2文件那一行的任何地方,就会打开右边的编辑区,和其他IDE工具一样,使用编辑器,输入如下代码:module AND_2(clk,rst,A,B,C); input clk,rst,A,B; output C; reg C; always@(posedge clk or negedge rst) if(!rst) C<=1'b0; else C<=A&B; Endmodule 输入完成后,单击保存。 注意:模块名和工程名一定要一样,否则编译不能通过。 3,编译,如下图: 右键单击图中AND_2文件那一行的任意地方,弹出选项如图所示,选择Compile选项的Compile All,如下图所示:

Modelsim初级使用教程

Modelsim初级使用教程(转) 一、Modelsim简介 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim就可以用,它假设使用者对当前操作的前期准备工作都已经很熟悉,所以初学者往往不知道如何做当前操作的前期准备。 二、安装 同许多其他软件一样,Modelsim SE 同样需要合法的License,通常我们用Kengen产生license.dat。 1 解压安装工具包开始安装,安装时选择Full product安装。当出现Install Hardware Security Key Driver时选择否。当出现Add Modelsim To Path 选择是。出现Modelsim License Wizard时选择Close。 2 在C盘根目录新建一个文件夹flexlm,用Keygen产生一个License.dat,然后复制到该文件夹下。若License通过,但是打开ModelSim仍出错,则将系统时间调到2008年之前,重新生成License,再将时间调回来即可。 3 修改系统的环境变量。右键点击桌面我的电脑图标,属性->高级->环境变量->(系统变量)新建。按下图所示内容填写,变量值内如果已经有别的路径了,请用";"将其与要填的路径分开。LM_LICENSE_FILE = c:\flexlm\license.dat 4 安装完毕,可以运行。 注意:1、电脑的用户名不能为中文; 2、安装路径不能出现中文和空格,只能有数字、英文字母和下划线"_"组成; 3、若计算机还需要使用ModelSim-Altera 6.4a (Quartus II 9.0),可不需做任何额外的设定,ModelSim SE 6.3g会自动抓到这个系统变量,并使用这个license file;反之亦然。

ModelSim11.0 教程

Quartus11中调用ModelSim-Altera6.5实例指导 编者:Ourfpga https://www.doczj.com/doc/6c1679683.html, 备注:参考网络上文档及代码编写此文档,在此对他们表示感谢! 此处默认您已经安装好quartus11.0软件。。。 一.Modelsim_Altera_ase软件安装 睿智FPGA开发板配套光盘内提供了Modelsim_Altera_ase的安装包,ase版本是altera start edition,即入门版,免费使用的;ae是altera edition,,需要破解,支持更多功能吧。 但对于我们,ase版本已经足够了。装上就能使用。就不费破解的事了。 如想安装ae版本,请参考Bingo写过的教程,网页地址如下: https://www.doczj.com/doc/6c1679683.html,/crazybingo/archive/2011/02/21/1959893.html 此处安装11.0_Altera_Modelsim_ase_windows.exe,。具体步骤如下: (1)打开安装目录下的setup,一路next,直到选择路径的时候,选择与quartus ii安装目录相同的路径。如下图所示,我的电脑上装在E盘上了,您要根据您的设置来改。 (2)继续next,静默,等待安装完毕…… (3)安装完毕,出现以下界面: (4)OK,Finish! (5)打开Quartus II,打开菜单Tool-Options,在EDA Tool Options中的Modelsim-Altera,选择Moldelsim-Altera应用程序的根目录,配置Modelsim-Altera

应用程序第三方软件路径。如下图所示:在该选项卡中下面的ModelSim-Altera一项指定安装路径为E:/Altera/11.0/modelsim_ae/win32aloem(其中E:/Altera/11.0/modelsim_ae/为我电脑中ModelSim-Altera6.5e的安装路径) 至此,Altera-Modelsim ase版本安装完毕 二.如何在Quartus II11.0中调用ModelSim-Altera 我以一个简单的实例来描述整个过程: 先弄一个工程,打开QuartusII,菜单file---new,新建一个工程

modelsim详细使用教程.pdf

Modelsim 详细使用方法 很多的modelsim教程中都讲得很丰富,但忽视了对整个仿真过程的清晰解读,而且都是拿counter范例举例子,有些小白就不会迁移了。这里我们着眼于能顺利的跑通一个自己写的程序,一步一步的讲解,如果你是一个初学者,这再适合你不过了,虽然貌似字写得比 较多,那是因为写得相当的详细,一看就会啦O(∩_∩)O~ 一、建立工程 1、在建立工程(project)前,先建立一个工作库(library),一般将这个 library 命名为 work。尤其是第一次运行 modelsim 时,是没有这个“work”的。但我们的 project 一般都是在这个work下面工作的,所以有必要先建立这个work。 File → new → library 点击library后会弹出一个对话框,问是否要创建work,点击OK。就能看见work.

2、 如果在 library 中有 work ,就不必执行上一步骤了,直接新建工程。 File →new →project 会弹出 在 Project Name 中写入工程的名字,这里我们写一个二分频器,所以命名 half_clk,然后点击 OK 。 会出现

由于我们是要仿一个自己写的程序,所以这里我们选择Create New File。 在File Name中写入文件名(这里的file name和刚刚建立的project name可以一致也可以不一致)。注意Add file as type要选择成Verilog(默认的是VHDL),然后OK。 发现屏幕中间的那个对话框没有自己消失,我们需要手动关闭它,点close。 并且在project中出现了一个half_clk.V的文件,这个就是我们刚刚新建的那个file。 这样工程就建立完毕了。 二、写代码: 1、写主程序:双击 half_clk.v 文件会出现程序编辑区,在这个区间里写好自己的 程序,这里我们写一个简单的二分频的代码: module half_clk_dai( clk_in, rst, clk_out ); input clk_in; input rst;

modelsim初级使用教程

Modelsim初级使用教程(转) 一、 Modelsim简介 Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 对于初学者,modelsim自带的教程是一个很好的选择,在Help->SE PDF Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简单易懂。但是它也有缺点,就是它里面所有事例的初期准备工作都已经放在example文件夹里,直接将它们添加到modelsim 就可以用,它假设使用者对当前操作的前期准备工作都已经

很熟悉,所以初学者往往不知道如何做当前操作的前期准备。 二、 安装 同许多其他软件一样,Modelsim SE 同样需要合法的License,通常我们用Kengen产生license.dat。 1 解压安装工具包开始安装,安装时选择Full product安装。 当出现Install Hardware Security Key Driver时选择否。当出现Add Modelsim To Path选择是。 出现Modelsim License Wizard时选择Close。 2 在C盘根目录新建一个文件夹flexlm,用Keygen产生一个License.dat,然后复制到该文件夹下。 若License通过,但是打开ModelSim仍出错,则将系统时

Modelsim简单教程.

Modelsim入门技术文档 单位:深圳大学EDA中心实验室指导老师:徐渊 作者:陈战夫rshamozhihu@https://www.doczj.com/doc/6c1679683.html,时间:2010-1-7 说明:本文档作为EDA中心研究生modelsim入门用。分三个章节阐述。 1.modelsim中库的编译 1.自己新建一个文件夹,如D:\modelsimpro\counter,将counter.v与counter_tb.v文件拷贝 到该文件夹下。 2.点击modelsim的图标,打开modelsim的界面窗口。 3.选择File > Change Directory,选择步骤1中的目录,点击OK。 4.选择File > New > Library。 5.在library name与library physical name两栏中均填work.点击OK。(在transcript窗口中 可看到vlib work vmap work work)。 6.选择Compile > Compile,出现如下窗口。同时选中counter.v与counter_tb.v两个文件。

7.点击compile.再点击done. 8.在library标签点击work库前面的“+”号,可看到counter.v与counter_tb.v均在其目录 下。 9.双击counter_tb.v可将该设计装载到本次工程库中。 10.选择View > Debug Windows >wave.将弹出波形窗口。 11.在workspace窗口下点击sim标签。 12.右击counter_tb.v,在出现的菜单中选择Add > Add to Wave。 13.在transcript窗口中VSIM>后敲入run 1000.则系统将仿真1000ns.我们可根据波形查看仿 真结果。 14.在workspace窗口中点击files标签,鼠标左键双击counter.v,打开counter.v文件。 15.在第10行数字10旁鼠标左键点击一下,可出现一小红点,即断点。(再次右击断点处, 在出现的菜单下选择remove breakpoint 即可取消该断点的设置)。

相关主题
文本预览
相关文档 最新文档