当前位置:文档之家› 分频器的相位问题_音响中常见的相位问题及解决方法

分频器的相位问题_音响中常见的相位问题及解决方法

分频器的相位问题_音响中常见的相位问题及解决方法

分频器的相位问题_音响中常见的相位问题及解决方法

专业音响技术人员对于相位问题并不感到陌生,音响系统中的相位是很抽象的,本文首先介绍了音箱中分频器的相位问题,其次阐述了音响中常见的相位问题及解决方法,具体的跟随小编一起来了解一下。

什么是分频器分频器可定义为:将输入的电信号分离成两路单独的信号,且使每一路信号的带宽均小于原始信号的带宽,这种由一对或多对滤波器构成的装置就称为分频器。也可称为“频率分配网络”。

分频器通常由高通(低切)滤波器(简称为HPF)和低通(高切)滤波器(简称为LPF)组成。滤波器是一种频率选择器件,可以通过被选择的频率而阻碍其他的频率通过。滤波器通常有以下三个参数:截止频率,网络类型,斜率。截止频率是指滤波器的响应在低于它的最大电平时跌落到某点的频率,通常为最大电平的0.707倍或0.5倍,或下降3dB或6dB时的频率。网络类型是指滤波器的频率响应曲线在截止频率附近的形状,近些年来,人们设计了很多种类型的滤波器,常见的滤波器类型有:巴特沃夫,林克威兹,贝塞尔等,图一为各种滤波器的的频率响应曲线,斜率定义为滤波器的频率响应曲线中下降到截止频率时的倾斜程度,单位为dB/倍频程,通常斜率为每倍频程6,12,18和24dB。也可以称为‘滤波器斜率’或‘滤波器阶数’,滤波器阶数每增加一阶,则其斜率增加6dB/倍频程,也就是,一阶滤波器有6dB/倍频程的斜率,二阶滤波器则有12dB/倍频程的斜率。那么,24dB/倍频程的巴特沃夫滤波器就相当于4阶的巴特沃夫滤波器。

图1:红色-2KHz24dB林克威兹–瑞利高通滤波器,橙色-2KHz 24dB巴特沃夫高通滤波器,棕色-2KHz 24dB贝塞尔高通滤波器,绿色-“-3dB”,蓝色-“-6dB”

由于喇叭单元不会有相同的声级、全频带的输出,分频器必须用于全频范围的扬声器系统。低频单元用来再现低频信号,高频单元用来再现高频信号,分频器将适当的频率信号传输到适当的喇叭单元。

专业音响常见技术问题解答

专业音响客户反馈常见问题解答 1、扩声系统没有声音,一般先通过设备的指示灯判定,各设备需要判定哪些指示灯,各代表什么意思? 答:功放上显示灯分为以下几种: POWER(电源指示灯) SIG(信号指示灯):有信号输入,灯闪烁或者常亮 CLIP(削波指示灯):偶尔闪烁一两下,表示已到峰值功率;常亮表示已经过载 PRO(保护灯):故障保护灯 2、扩声系统有杂音,怎么一步步排查是什么问题造成的,怎么处理?答:从设备后端往前一级逐级检查,以检查信号线和电源线为主(详见音响系统有杂音处理方法) 3、话筒会啸叫,话筒啸叫的原因,怎么处理啸叫? 答:话筒啸叫是由于厅堂墙壁和顶部对声波频率的吸收和反射的不同而引起的。处理方法比较复杂,一般通过房间均衡器或参量均衡器,

寻找啸叫点,衰减相应的频率,保持声场平衡。 4、为什么扩声系统经常烧低音或高音,哪些因素导致的,怎么预防?答:烧单元都是由于设备大电流工作引起的,你们如话筒啸叫,配置功放功率偏小,系统长时间超负荷工作,设备电源电压低,电压不稳定,供电电源线太细等,都是导致少单元的主要原因 5、根据音箱功率,怎么样选择功放,不同阻抗的时候,如何配置功放? 答:音箱与功放的功率配比主要时根据其使用功能来配置,不同功能的使用场所其功率配置比例均有所不同。语音、会议或背景音乐等小功率扩声,按照1.5倍左右配置功放。室内演出,小型娱乐场所,按照1.5-2倍配置功放。户外演出、大型娱乐场所,按照2-3倍配置功放。正常的音箱在瞬间可承受其4-5倍功率。至于阻抗问题,一般中小功率扩声可以让功放以4欧推动音箱,长期大功率的扩声建议让功放以8欧状态推动音箱,毕竟音箱阻抗越小,功放推动时电流越大,相应的功放和喇叭单元发热量也会大一些。 6、多大面积的场所适合用线阵?如果使用线阵,对楼层的高度有什么要求,线阵底部离地面的高度要多高? 答:通常是根据使用场所的长度、层高、用途来决定,长度一般超过20米,层高5米以上便可以用线阵,线阵音箱底部离地面要保持2.5米以上。小功率扩声可以做多点扩声,可使整个厅堂的声压分布均匀且柔和。 7、各个场所超低音音箱的数量及功率如何确定,超低音音箱的数量

专业音响调音的才常见问题及解决方法

专业音响调音的才常见问题及解决方法 1、话筒干、唱歌累: 原因:话筒中频能量不够;解决方法:提升800Hz频段; 2、低音硬: 原因:超低音上限能量太强;解决方法:衰减125-160Hz; 3、低音散: 原因:低频下限能量过大;解决方法:提高超低音下限频率; 4、低音混: 原因:低频中心频率能量过强;解决方法:衰减60-80Hz; 5、声音单薄,或者声音干: 原因:中低频能量不足;解决方法:提升250Hz; 6、声音打耳朵: 原因:中频过强;解决方法:衰减IK-1.25KHz; 7、声音炸: 原因:中高频能量过强;解决方法:衰减2K-4KHz; 8、声音刺耳: 原因:高频能量过强;解决方法:衰减6KHz; 9、声音有烦躁感: 原因:高频不柔顺;解决方法:衰减8K-1OKHz; 10、声音发毛: 原因:超高频能量过强;解决方法:衰减12K-16KHz; 11、感觉高、中、低频脱节: 原因:分频频率附近的频段衰减过多;解决方法:提升分频频率附近的均衡,增加融合度; 12、感觉话筒和伴奏音乐结合不好: 原因:①话筒和音乐的比例不对;②效果器预延时参数不正确;解决方法:①重新调整话筒与音乐的比例在6: 4;②减小效果器预延时时间; 13、感觉超低音缺乏弹性: 原因:超低音不协调;解决方法:衰减接近下限的频率40Hz或50Hz,提升基准频段80Hz; 14、人声不够清晰: 原因:中低频太肥;解决方法:衰减300-500Hz; 15、人声不透: 原因:中高频不足;解决方法:调音台中频扫频到3K,适当提升; 16、超低音太软、无力: 原因:分频点设置过低;解决方法:提高分频点到120Hz左右,并提升100-125Hz频段; 17、全频音箱感觉不通透: 原因:中高频缺少;解决方法:提升3·5KHz; 18、话筒声音太硬: 原因:中低频和中高频比例不对;解决方法:衰减500-630Hz,衰减1.6K-2.5KHz; 19、话筒加入混响后,声音混; 原因:混响声的低频过多;解决方法:在调音台上对混响的低频进行适当衰减; 20、超低频声压不大,但共振声强: 原因:超低频下限能量过强;解决方法:大幅度衰减50Hz以下的频段;

音响设备使用及故障排除

音响设备使用及故障排除 音响设备作为酒店会议重要组成部分,如何正确使用音响设备是会议能否成功完成的关键。以下提供音响设备使用方法攻略: 1、开关顺序为:功放必须为最后打开,其他设备可以根据 需要做相应调整打开顺序。关闭时功放必须最先关闭,其他设备可以根据需要做相应调整关闭顺序。 2、注意机器的使用条件:避免在高温低温的环境中使用机 器,避免阳关一直直接照射机器表面。避免散热处有遮挡物。避免在潮湿的环境中使用机器。 3、注意机器的使用环境:避免在灰尘以及震动环境中使用 机器。在机器的四周应留有足够的空隙,以利于机器的散热。对于功放,应特别注意保持散热通道的畅通。 4、严禁带点插拔信号插头。以免由此产生的冲击而损坏机 器或音箱。机器工作过程中若发出异常的声音,应立即关断电源,停止使用。不要擅自打开机器,以免使机器遭受更大的损坏或造成触电事故。 5、安全使用机器:切莫湿手插拔电源插头以免触电。长期 不使用时,应将电源插头从电源插座中拔出。切勿让金属导电物掉入机器内,以免损坏机器。严禁在过载或短路情况下工作。 在设备使用过程中会出现各种故障,现将常见故障

及排除方法列举如下: 一、调音台常见自身故障: 1.音量推子接触不好,工作时声音断断续续。 2.通道输入端口故障,比如以前老式的百威调音台的XLR卡侬输入端口很容易“连根拔起”,开始碰到这种故障时我还真不敢相信自己的眼睛。 3.控制系统紊乱,有一次使用一台声艺16路调音台,结果发现总输出没有信号出来,后来就改到编组输出,等下编组又没有信号输出了,而且我在推第10路推子时,出去的确是第11路通道的声音。后来我又通过AUX输出信号等等方法,最后此调音台还是彻底罢工了。此时正在演出不能冷场,我也干脆把CD机信号直接给了功放放点音乐算了。像这样的调音台故障这么多年我还第一次碰到,以前也没有听说过。总之调音台的故障无非是输入部分、输出部分、控制部分、电源部分等,一般是由于设备老化造成的。 二、均衡器常见自身故障: 1.均衡器推拉键接触故障,这一点是最常见的,主要是由于设备老化和恶劣的环境有关。 2.均衡器内在线路故障,我见过一些均衡器只有一路信号输出,后来发现那一路电路坏掉了,而且这样的均衡器不在少数,我碰到过好几次。

分频器的设计2014-1-10 10.29.8

武汉理工大学《微机原理与接口技术》课程设计报告书

号:
0121105830129
课 程 设 计
题 学 专 班 姓
目 院 业 级 名
分频信号发生器的分析与设计 自动化学院 电气工程及自动化 电气 1107 班 成涛 陈静 教授
指导教师
2014 年
01 月
09 日

武汉理工大学《微机原理与接口技术》课程设计报告书
课程设计任务书
学生姓名: 指导教师: 题 目: 成涛 专业班级: 电气 1107 班 陈静 教授 工作单位: 自动化学院 分频信号发生器的分析与设计
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰 写等具体要求) 1. 设:有一输入方波信号 f0(<1MHz) 。要求输出信号:f1=f0/N,N 通过键盘 输入。 2. 画出简要的硬件原理图,编写程序。 3. 撰写课程设计说明书。 内容包括:摘要、 目录、 正文、 参考文献、 附录 (程 序清单) 。正文部分包括:设计任务及要求、方案比较及论证、软件设计说明(软 件思想,流程,源程序设计及说明等) 、程序调试说明和结果分析、课程设计收 获及心得体会。
时间安排: 12 月 26 日----- 12 月 28 日 查阅资料及方案设计 12 月 29 日----- 01 月 0 2 日 编程 01 月 03 日-----0 1 月 07 日 调试程序 01 月 08 日----- 01 月 09 日 撰写课程设计报告
指导教师签名: 系主任(或责任教师)签名:
年 年
月 月
日 日

专业音响调音常见问题及解决方法

专业音响调音常见问题及解决方法 1、话筒干、唱歌累: 原因:话筒中频能量不够;解决方法:提升800Hz频段; 2、低音硬: 原因:超低音上限能量太强;解决方法:衰减125-160Hz;3、低音散: 原因:低频下限能量过大;解决方法:提高超低音下限频率; 4、低音混: 原因:低频中心频率能量过强;解决方法:衰减60-80Hz;5、声音单薄,或者声音干: 原因:中低频能量不足;解决方法:提升250Hz; 6、声音打耳朵: 原因:中频过强;解决方法:衰减IK-1.25KHz; 7、声音炸: 原因:中高频能量过强;解决方法:衰减2K-4KHz; 8、声音刺耳: 原因:高频能量过强;解决方法:衰减6KHz; 9、声音有烦躁感: 原因:高频不柔顺;解决方法:衰减8K-1OKHz;

10、声音发毛: 原因:超高频能量过强;解决方法:衰减12K-16KHz; 11、感觉高、中、低频脱节: 原因:分频频率附近的频段衰减过多;解决方法:提升分频频率附近的均衡,增加融合度; 12、感觉话筒和伴奏音乐结合不好: 原因:①话筒和音乐的比例不对;②效果器预延时参数不正确;解决方法:①重新调整话筒与音乐的比例在6:4;②减小效果器预延时时间; 13、感觉超低音缺乏弹性: 原因:超低音不协调;解决方法:衰减接近下限的频率40Hz或50Hz,提升基准频段80Hz; 14、人声不够清晰: 原因:中低频太肥;解决方法:衰减300-500Hz; 15、人声不透: 原因:中高频不足;解决方法:调音台中频扫频到3K,适当提升; 16、超低音太软、无力: 原因:分频点设置过低;解决方法:提高分频点到120Hz左右,并提升100-125Hz频段;

多媒体教室设备常见故障及排除方法

多媒体教室设备常见故障及排除方法 多媒体教室设备常见故障及排除方法(1) 设备故障现象故障原因解决方法 投影机 亮得比较慢 1、投影机的灯泡一般 需要2-3分钟启动的时 间, 2、设备老化,启动慢 耐心等待。 电源已通,但 投影机灯泡 不亮 1、可能是中控的面板老 化,按键不灵所致 继续按中控面板上的相应按钮 (注意观察中控液晶显示板的指 示“投影操作”是否有闪烁一 下)或用投影机遥控器开启,若 仍然无法开可能属如下“2”情 况 2、投影机处于热保护状 态 暂时无法开启,只能让机器继续 散热直到自动解锁。 投影机开启 但无法显示 图像 1、连线松动 重新连接好线路即可,注意不要 在机柜内乱放物品,不要用机柜 内电源给非教学设备充电或供电 2、笔记本电脑需要敲击 特定按键以激活电脑的 外部视频端口 按住笔记本电脑的FN键,后同时 按下标识为LCD/CRT 或显示器 图标的对应功能键(不同型号的 具体按键不同) 3、切换不到位:通过中 控控制的所有设备,若切 换不到位可能造成无法 投影 1、电脑/手提电脑:依次 按下中控操作面板上的“电脑 “—〉“台式电脑/手提电脑” 即可 2、通过摇控器控制的投影,请在 摇控器上按信号输入选项 使用过程中 突然关闭灯 泡 投影机很可能是处于热 保护状态。投影机内部的 热量过大,温度过高,机 子会自动启动保护装置, 将投影机灯泡自动关闭 暂时无法开启,只能让机子自动 解锁。 一般在自动关机30分钟后按正常 顺序来打开投影机,就可以恢复 正常了 不能显示整 个电脑图像 电脑的分辨率设置过高, 超过投影机的分辨率 将电脑的分辨率设置成与投影机 分辨率相匹配的大小。(一般设成 800*600) 投影的电脑 图像抖动的 历害 排除电脑本身信号原因 的情况可能是电脑刷新 频率过高所致 将电脑的刷新频率调低使之与投 影机的刷新频率相适应(60hz)多媒体教室设备常见故障及排除方法(2)

分频器的设计

分频器的设计 一、课程设计目的 1.学会使用电路设计与仿真软件工具Hspice,熟练地用网表文件来描述模拟电路,并熟悉应用Hspice内部元件库。通过该实验,掌握Hspice的设计方法,加深对课程知识的感性认识,增强电路设计与综合分析能力。 2.分频器大多选用市售成品,但市场上出售的分频器良莠不齐,质量上乘者多在百元以上,非普通用户所能接受。价格在几十元以下的分频器质量难以保证,实际使用表现平庸。自制分频器可以较少的投入换取较大的收获。 二.内容 分频器-概述 分频器是指使输出信号频率为输入信号频率整数分之一的电子电路。在许多电子设备中如电子钟、频率合成器等,需要各种不同频率的信号协同工作,常用的方法是以稳定度高的晶体振荡器为主振源,通过变换得到所需要的各种频率成分,分频器是一种主要变换手段。早期的分频器多为正弦分频器,随着数字集成电路的发展,脉冲分频器(又称数字分频器)逐渐取代了正弦分频器,即使在输入输出信号均为正弦波时也往往采用模数转换-数字分频-数模转换的方法来实现分频。正弦分频器除在输入信噪比低和频率极高的场合已很少使用。

分频器-作用 分频器是音箱中的“大脑”,对音质的好坏至关重要。功放输出的音乐讯号必须经过分频器中的各滤波元件处理,让各单元特定频率的讯号通过。要科学、合理、严谨地设计好音箱之分频器,才能有效地修饰喇叭单元的不同特性,优化组合,使得各单元扬长避短,淋漓尽致地发挥出各自应有的潜能,使各频段的频响变得平滑、声像相位准确,才能使高、中、低音播放出来的音乐层次分明、合拍,明朗、舒适、宽广、自然的音质效果。 在一个扬声器系统里,人们把箱体、分频电路、扬声器单元称为扬声器系统的三大件,而分频电路对扬声器系统能否高质量地还原电声信号起着极其重要的作用。尤其在中、高频部分,分频电路所起到的作用就更为明显。其作用如下: 合理地分割各单元的工作频段; 合理地进行各单元功率分配; 使各单元之间具有恰当的相位关系以减少各单元在工作中出现的声干涉失真; 利用分频电路的特性以弥补单元在某频段里的声缺陷; 将各频段圆滑平顺地对接起来。 分频器-分类 1)功率分频器:位于功率放大器之后,设置在音箱内,通过LC滤波网络,将功率放大器输出的功率音频信号分为低音,中音和高音,分别送至各自扬声器。连接简单,使用方便,但消耗功率,出现音频谷

音箱常见故障修理及实例

音箱常见问题修理实例修理方法 发布时间:2010年8月26日文章整理爱促销来源:电脑修理网点击次数:70 音箱常见问题修理实例电脑硬件声卡- 2010年8月26日爱促销电脑网修理中心 当今计算机正向多媒体、智能化推进而音箱多媒体设备中重要组成部分可以说音箱除显示器外与我们感观接触最为直接电脑外设它好坏直接影响多媒体电脑效果下面就多媒体音箱一些常见问题解决办法为大家作以简述: 当音箱不出声或只一只出声时首先应检测电源、连接线否接好时过多灰尘往往会导致接触不良如不确定否声卡困难则可更换音源(如接上随身听)以确定否音箱本身毛病当确定音箱本身困难时应检测扬声器音圈否烧断、扬声器音圈引线否断路、馈线否开路、与放大器否连接妥当当听到音箱发出声音比较空声场涣散时要注意音箱左右声道否接反可考虑将两组音频线换位如果音箱声音低则应重点检测扬声器质量否低劣、低音扬音器相位否接反当音箱明显失真时可检测低音、3D等调节程度否过大此外扬声器音圈歪斜、扬声器铁心偏离或磁隙中杂物、扬声器纸盆变形、放大器馈给功率过大也会造成失真 当音箱杂音时一般都应该首先确定杂音来源 一、先录音机或收音机上测评音箱否自身杂音如果音箱本身困难可更换或修理音箱音箱本身困难主要出扬声器纸盆破裂、音箱接缝开裂、音箱后板松动、扬声器盆架未固定紧、音箱面网过松等方面 二、将声卡换个插槽尽量远离其插卡如显示卡、Modem卡、网卡等尤其显示卡它干扰性最强可能会干扰包括声卡内任何插卡 三、将声卡上音频线拔掉测评若不再杂音则说明杂音该音频线导致可换一根音频线或更换声卡 下面简述几个修理实例 例一:冲击波音箱修理 问题现象:一对冲击波防磁音箱额定功率为30w音箱解决过程中突然没声音 问题简述解决:首先检测了一下音箱外观并没发现嘛以外接通电源能听到嗡嗡噪声这说明音箱喇叭没烧坏摸了一下音箱后盖发觉后盖正逐渐变烫因为音箱后盖同时作为功放集成块散热片所以初步确定音箱功放集成块损坏了切断电源打开音箱后盖观察音箱功率放大电路没发现电路及电容电阻等元器件以外迹象再接通电源发现除了功放集成块特别烫之外电桥也特别烫初步分析为功放集成块损坏可能性较大 更换电桥将电桥四个管脚上焊锡用烙铁和吸锡器除去更换完后通电发现问题依旧;再将功放集成块换下来TDA1521功放集成块九个管脚且排列较密所以它拆卸比较繁杂首先要将功放放集成块上螺钉卸下然后慢慢地将集成块上各个管脚焊锡去掉;安装时要注意每个管脚

音箱系统中四种故障的分析与解决方法

音箱系统中四种故障的分析与解决方法音箱系统是音响设备出声的关键之一,音箱由喇叭、分频器(全频箱没有)、箱体、导线、吸音材料等组成。音响系统的故障率较低,故障类型较少,常见故障有以下四类。 无声 1、音箱接线断或分频器异常。音箱接线断裂后,扬声器单元没有激励电压,就会造成无声故障。分频器一般不易断线,但可能发生引线接头脱焊、分频电容短路等故障。 2、音圈断。可用万用表R×1档测量扬声器引出线焊片,若阻值为∞,可用小刀把音圈两端引线的封漆刮开,露出裸铜线后再测,如果仍不通,则说明音圈内部断线;若测量已通且有"喀喀"声,则表明音圈引线断路,可将线头上好焊锡,再另用一段与音圈绕线相近的漆包线焊妥即可。 3 、喇叭引线断。由于扬声器纸盆振动频繁,编织线易折断,有时导线已断,但棉质芯线仍保持连接。这种编织线不易购得,可用稍长的软导线代替。 4、音圈烧毁。用万用表R×1档测量扬声器引线,若阻值接近0Ω,且无"喀喀"声,则表明音圈烧毁。更换音圈前,应先清除磁隙内杂物,再小心地将新音圈放入磁隙,扶正音圈,边试听边用强力胶固定音圈的上下位置,待音圈置于最佳位置后,用强力胶将音圈与纸盆的间隙填满至一半左右,最后封好防尘盖,将扬声器纸盆向上,放置一天后即可正常使用。 声音时有时无 1、扬声器引线接触不良。通常是音圈引线霉断或焊接不良所致,纸盆振动频繁时,断点时而接通,时而断开,形成无规律时响时不响故障。 2、音圈引线断线或即将短路。

3、功率放大器输出插口接触不良或音箱输入线断线。 音量小 1、扬声器性能不良,磁钢的磁性下降。扬声器的灵敏度主要取决于永久磁铁的磁性、纸盆的品质及装配工艺的优劣。可利用铁磁性物体碰触磁钢,根据吸引力的大小大致估计磁钢磁性的强弱,若磁性太弱,只能更换喇叭。 2、导磁芯柱松脱。当扬声器的导磁芯柱松脱时,会被导磁板吸向一边,使音圈受挤压而阻碍正常发声。检修时可用手轻按纸盆,如果按不动,则可能是音圈被芯柱压住,需拆卸并重新粘固后才能恢复使用。 3、分频器异常。当分频器中有元件不良时,相应频段的信号受阻,该频段扬声器出现音量小故障。应重点检查与低音扬声器并联的分频电容是否短路,以及与高音扬声器并联的分频电感线圈是否层间短路。 声音异常 1、磁隙有杂物。如果有杂物进入磁隙,音圈振动时会与杂物相互磨擦,导致声音沙哑。 2、音圈擦芯。音圈位置不正,与磁芯发生擦碰,造成声音失真,维修时应校正音圈位置或更换音圈。 3、纸盆破裂。损坏面积大的应更换纸盆,损坏面积小的可用稍薄的纸盆或其它韧性较好的纸修补。 4、箱体不良。箱体密封不良或装饰网罩安装不牢等,会造成播放时有破裂声。此外,箱体板材过薄导致共振,也会产生声音异常。

如何解决有关音箱的常见问题

1, 什么叫音箱的承受功率? 顾名思义,音箱的承受功率就是指“输入音箱不超过此标示功率就不会损坏,就能承受得了”。 音箱的承受功率有几种表述方法,很容易造成使用者的误解,从而不当使用造成音箱的损坏。音箱的参数标注中有的是标注额定功率,也就是长期功率,表示在此功率状态下长期使用不会损坏。工厂检测额定功率是在恒温20℃条件下,用粉红噪声信号连续工作48小时为准。在KTV包房中使用环境比较恶劣,音乐中又包含很多大动态的峰值信号,建议输入功率低于额定功率20%以上使用音箱,将会安全很多。有的音箱参数标注的是峰值功率,这个值是额定功率的3-4倍,例如BMB牌CS-450MKII的功率标注就是峰值功率450W。音箱对峰值功率的承受是有条件限制的,时间设定是1秒钟,反复也只能10次,如果超过就可能损坏,对峰值功率标注的音箱要特别小心使用,建议使用功率不超过峰值功率的1/4。 2;什么是功放的额定输出功率? 功放的额定输出功率是指:“在不失真条件下的长期输出功率(一般指输出失真不超过1%)”,此种状态下功放是安全可靠工作的。其实这里有几个前提条件:其一是要求市电电压为标准的220V,若市电波动,则功放的输出功率也会随之变化;其二是对负载(音箱)有阻抗规定,例如2*150W(8Ω)的功放,在4Ω负载的情况下可能输出功率会达到230W左右。其三工厂在功放的输出功率测试时的环境温度为20℃,在KTV包房中使用时若散热不好,即使是在额定功率条件下工作,都有可能损坏功放,其四功放的额定功率是指不失真输出功率,并不是说功放只能输出这个功率,如果任由功率失真也加大输出(增大音量旋钮),则输出的失真功率是很大的,远远超过额定功率。例如150W(8Ω)的功放在不失真时的最大输出电压应小于35V(功率=电压2/电阻),当失真时,输出电压可能会升到40V,则此时的失真功率会达到402/8=200W。 3;在选用功放和音箱时应该如何匹配功率? 在选择功放和音箱时,我们建议功放额定功率要略大于音箱的额定功率,一般大小20-30%为宜,最起码也要相等,一定不能让功放额定功率小于音箱额定功率,形成“小马拉大车”。小马拉大车会造成整个系统低音表现松软无力,动态和音乐表现层次变差,如果此情况下使用者过多加大低音的音调旋钮或加大音量旋钮,则会造成功放输出失真,即内行人常说的“削波”,造成直流电输出(正常情况下功放的输出波形为交流电正弦波,失真后会“削波”形成近似的直流

音箱系统中常见问题及解答

音箱系统中常见问题及解答 集成应用: Q:什么是调音台? A:调音台又称调音控制台,它将多路输入进行放大、混合、分配、修饰和效果加工,是现代电台广播、舞台扩音、音响节目制作等系统中进行播送和录制节目的重要设备。按出来方式可分为:模拟式调音台和数字式调音台。 Q:音箱、调音台和功放的链接顺序是什么? A:音源经过调音台到功放,功放再通过音箱线连接音箱. Q:窗口对讲机适用在什么地方? A:举个例子,得胜的DA-237窗口双向对讲机适用于任何需要防盗,防破坏,交谈困难的窗口,如银行、证件、邮局、火车站、售票处等,且可输出内外双路声音信号(配合银行柜员录音),采用立体声插座输出方式,并且录音功能不受电源开关和各功能按钮的控制。 Q: MX-620能不能支持家庭K歌? A:网络K歌主控台,集成了调音台、声卡、效果器及放大器于一体,不仅可以用在电脑上K 歌,也能在家庭影院功放上当效果器用. Q:一般类似TS-808这类型的混音器适合用在什么场合? A:多数适用于中小型企事业单位的会议系统安装, 设备可以一路麦克风平衡输出,可连入调音台、功放等系统设备,一路辅助线路输出,可连入录音设备,进行会议记录,可同时手拉手8支有线鹅颈麦克风一起使用. Q:无线导览系统作用大吗? A:我拿WTG-900为例子吧,该产品适适用于旅游观光讲解、展览会语音导览、舞台内部通讯、工厂调度指挥、野外团队拓展、企业参观洽谈、户外施工通讯等场合,采用780~860MHZ 数字跳频技术设计,自动滤除无线电干扰,开阔地最大可达300米,支持无线对讲功能,配备专用充电器和便携式铝合金箱,方便户外使用,总的来说还是一款专业性很强的无线设备. Q:调音台的EQ是什么? A:主要是使用各个通道所配备的均衡器对进入系统的声音进行细调。均衡器将声音分为高、中和低频范围(某些情况下更多),然后按不同的频率范围进行增强或减弱以对音质进行细调或塑形。 Q:调音台里压缩器作用是什么? A:压缩器是一种压缩声音使其更具存在感和冲击力的效果器。通常连接至通道的插入信号插孔,噪音、原吉他声、低音乐器等必须用到该效果。压缩器通常由四个参数组成:压缩比、阀值、起音和释音,应根据乐器、歌曲的特性或希望达到的音质对这些参数进行细调。 Q:如何采集各个乐器的声音? A:可通过两种方法采集乐器声和嗓音。

通用分频器基本原理

通用分频器基本原理 整数分频包括偶数分频和奇数分频,对于偶数N分频,通常是由模N/2计数器实现一个占空比为1:1的N分频器,分频输出信号模N/2自动取反。对于奇数N分频,上述方法就不适用了,而是由模N计数器实现非等占空比的奇数N分频器,分频输出信号取得是模N计数中的某一位(不同N值范围会选不同位)。这种方法同样适用于偶数N 分频,但占空比不总是1:1,只有2的n次方的偶数(如4、8、16等)分频占空比才是1:1。这种方法对于奇数、偶数具有通用性。半整数分频器也是在这种方法基础上实现的。除了一个模N计数器,还需要一个异或模块和一个2分频模块。半整数分频器原理如图1所示:半整数分频器设计思想:通过异或门和2分频模块组成一个改变输入频率的脉冲添加电路,也就是说N-0.5个输入信号周期内产生了N个计数脉冲,即输入信号其中的一个含一个脉冲的周期变为含两个脉冲的周期。而这一改变正是输入频率与2分频输出异或的结果。由2分频输出决定一个周期产生两个脉冲有两种方式:当一个输入信号来一个脉冲(前半周期)时,2分频输出变为‘1’,clk_in 取反,后半周期就会产生一个脉冲;2分频输出由‘1’变为‘0’时,clk_in刚把一个周期(前半周期)内低电平变为高电平产生一个脉冲,而后半周期的脉冲与‘0’异或不变。从而实现N-0.5分频。要实现奇数、偶数、半整数通用分频器只需再加一个控制选择信号sel。当sel=‘1’时,clk_in与2分频输出异或,实现半整数分频;当sel=‘0’时,只选通clk_in,实现整数分频。通用分频器原理如图

2所示:Verilog语言的实现本设计采用层次化的设计方法,首先设计通用分频器中各组成电路元件,然后通过元件例化的方法,调用各元件,实现通用分频器。1、选择异或门模块half_select:modulehalf_select(sel,a,b,c); outputc; inputsel,a,b; xoru1(w,a,b); assignc=sel?w:a; (当sel=‘1’时,clk_in与2分频输出异或,实现半整数分频;当sel=‘0’时,只选通clk_in,实现整数分频。)endmodule 2、模N计数器counter_n:实现参数化设计N可取2~256,也可增加count位数使N可取更大的值。以N=7为例通过设置sel分别实现奇数7分频和半整数 6.5分频。modulecounter_n(reset,en,clk_in,clk_out,count); parameterN=7;inputreset,en,clk_in;outputclk_out; output[7:0]count;regclk_out;reg[7:0]count; always@(posedgeclk_in)begin if(reset)begin count[7:0]=0;end elseif(en)begin if(count==(N-1))count=0;else count=count1; end end always begin if(N clk_out=count[0]; elseif(N clk_out=count;elseif(N clk_out=count; elseif(N 在复杂数字逻辑电路设计中,经常会用到多个不同的时钟信号。介绍一种通用的分频器,可实现2~256之间的任意奇数、偶数、半整数分频。首先简要介绍了FPGA器件的特点和应用范围。接着介绍了通用分频器的基本原理和分类,并以分频比为奇数7

广播常见问题及解决办法

扩声系统的常见故障及排除方法 故障现象 : 扩声系统放音无声音 出现原因 : 串接的音响设备其中一台或几台设备的电源插头未插好 解决方法 : 检查电源接线板,使插座接触良好 扩声系统在播放音乐不断发出“咔嚓”响声 出现原因 : 扩声系统各设备之间连接线有虚焊现象,在有音乐信号时,虚焊点使声信号时断时续 解决方法 : 1 必须彻底检查各通道设备接线,最好重焊各连接点 2 如果彻底检查各连接点,仍出现“咔嚓”现象,可能是连接线有断线现象,用万用表检查每根导线,将内部有断线的电缆更换 故障现象 : 扩声系统静音时,交流声严重 出现原因 : 各通道设备的连接屏蔽线接触不良或虚焊 解决方法 : 认真检查各设备间的屏蔽线,尽量采用焊接 出现原因 : 有三脚电源插头的设备接在二线输入的插座上,并且这二线的火线中性线反接。 解决方法 : 必须将二线输入插座,中性线和火线颠倒过来。一般插座左脚为火线,右脚为中性线。电源插板应配置 三脚的,中上方的插脚应接大地地线,确保用电安全 出现原因 : 有些音响设备采用二脚插头,但有的设备必须采用三脚

插头,否则其间连接时可能出现交流感应现象, 引出交流声 解决方法 :将二脚插头的音响设备的电源插头颠倒过来运转时音响系统的交流噪声很大 出现原因 : 音响系统和灯光系统共用同一单相电。灯光系统可控硅工作电流大,而且是交流脉冲式,通过电源引线直接耦合到扩设备输入端,从而产生很大的交流声 解决方法 : 音响系统用一单相电源,灯光系统用另一单相电源 2 音响系统供电采用隔离变压器,隔离变压器的功率应比音响系统用电功率大扩声过程中音箱事两组音箱突然无声 出现原因 : 一般情况下,是由于功放过载烧毁或音箱承受声功率太大烧毁 解决方法 : (一)更换功放或音箱; (二)更换异型配对的大功率晶体管,使功放修复。更换音箱扬声器的音圈,使音箱修复。必须注意这种情况的出现,都是过载引起的,调音时应高度重视操作上的问题。 唱声与播放的音乐伴奏分离 出现原因 : 音源左右声道进入调音台,相应的通道上的声像调节钮乱位置,造成声像混乱 解决方法 : 更正相应通道声像调节钮的调节,使调音台的输出信号保留原声源的声像

通用分频器基本原理

整数分频包括偶数分频和奇数分频,对于偶数N分频,通常是由模N/2计数器实现一个占空比为1:1的N分频器,分频输出信号模N/2自动取反。对于奇数N分频,上述方法就不适用了,而是由模N计数器实现非等占空比的奇数N分频器,分频输出信号取得是模N计数中的某一位(不同N值范围会选不同位)。这种方法同样适用于偶数N 分频,但占空比不总是1:1,只有2的n次方的偶数(如4、8、16等)分频占空比才是1:1。这种方法对于奇数、偶数具有通用性。半整数分频器也是在这种方法基础上实现的。除了一个模N计数器,还需要一个异或模块和一个2分频模块。半整数分频器原理如图1所示:半整数分频器设计思想:通过异或门和2分频模块组成一个改变输入频率的脉冲添加电路,也就是说个输入信号周期内产生了N个计数脉冲,即输入信号其中的一个含一个脉冲的周期变为含两个脉冲的周期。而这一改变正是输入频率与2分频输出异或的结果。由2分频输出决定一个周期产生两个脉冲有两种方式:当一个输入信号来一个脉冲(前半周期)时,2分频输出变为‘1’,clk_in取反,后半周期就会产生一个脉冲;2分频输出由‘1’变为‘0’时,clk_in 刚把一个周期(前半周期)内低电平变为高电平产生一个脉冲,而后半周期的脉冲与‘0’异或不变。从而实现分频。要实现奇数、偶数、半整数通用分频器只需再加一个控制选择信号sel。当sel=‘1’时,clk_in与2分频输出异或,实现半整数分频;当sel=‘0’时,只选通clk_in,实现整数分频。通用分频器原理如图2所示:Verilog语言的实现本设计采用层次化的设计方法,首先设

计通用分频器中各组成电路元件,然后通过元件例化的方法,调用各元件,实现通用分频器。1、选择异或门模块half_select:modulehalf_select(sel,a,b,c); outputc; inputsel,a,b; xoru1(w,a,b); assignc=sel?w:a; (当sel=‘1’时,clk_in 与2分频输出异或,实现半整数分频;当sel=‘0’时,只选通clk_in,实现整数分频。)endmodule 2、模N计数器counter_n:实现参数化设计N可取2~256,也可增加count位数使N可取更大的值。以N=7为例通过设置sel分别实现奇数7分频和半整数分频。modulecounter_n(reset,en,clk_in,clk_out,count); parameterN=7;inputreset,en,clk_in;outputclk_out; output[7:0]count;regclk_out;reg[7:0]count; always@(posedgeclk_in)begin if(reset)begin count[7:0]=0;end elseif(en)begin if(count==(N-1))count=0;else count=count1; end end always begin if(N clk_out=count[0]; elseif(N clk_out=count;elseif(N clk_out=count; elseif(N 在复杂数字逻辑电路设计中,经常会用到多个不同的时钟信号。介绍一种通用的分频器,可实现2~256之间的任意奇数、偶数、半整数分频。首先简要介绍了FPGA器件的特点和应用范围。接着介绍了通用分频器的基本原理和分类,并以分频比为奇数7和半整数的分频器设计为例,介绍了在QuartusII开发软件下,利用Verilog硬件描述语言来设计数字逻辑电路的过程和方法。在数

用Verilog 实现基于FPGA 的通用分频器的设计

基础设计 KnowledgeBase 127 2006.5 / 电子与电脑在复杂数字逻辑电路设计中,经常会用到多个不同的时钟信号。介绍一种通用的分频器,可实现2~256之间的任意奇数、偶数、半整数分频。首先简要介绍了FPGA器件的特点和应用范围。接着介绍了通用分频器的基本原理和分类,并以分频比为奇数7和半整数6.5的分频器设计为例,介绍了在QuartusII开发软件下,利用Verilog硬件描述语言来设计数字逻辑电路的过程和方法。 在数字逻辑电路设计中,分频器是一种基本电路。我们常会遇到偶数分频、奇数分频、半整数分频等,在同一个设计中有时要求多种形式的分频。通常由计数器或计数器的级联构成各种形式的偶数分频和奇数分频,实现较为简单。但对半整数分频分频实现较为困难。但在某些场合下,时钟源与所需的频率不成整数倍关系,此时可采用小数分频器进行分频。例如:时钟源信号为130MHz,而电路中需要产生一个20MHz的时钟信号,其分频比为6.5,因此根据不同设计的需要,本文利用Verilog硬件描述语言,通过MAX+plus II开发平台,使用Altera公司的FLEX系列EPF10K10LC84-3型FPGA,设计了一种能够满足上述各种要求的较为通用的分频器。 基于查找表(LUT)的FPGA的结构特点 查找表(Look-Up-Table)简称为LUT,LUT本质上就是一个RAM。目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的16x1的RAM。当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。由于LUT主要适合SRAM工艺生产,所以目前大部分FPGA都是 基于SRAM工艺的,而SRAM工艺的芯片在掉电后信息就会丢失,一定需要外加一片专用配置芯片,在上电的时候,由这个专用配置芯片把数据加载到FPGA中,然后FPGA就可以正常工作,由于配置时间很短,不会影响系统正常工作。 也有少数FPGA采用反熔丝或Flash工艺,对这种FPGA,就不需要外加专用的配置芯片。 FPGA(Field programmable Gates Array,现场可编程门阵列)都是可编程逻辑器件,它们是在PAL、GAL等逻辑器件基础上发展起来的。同以往的PAL、GAL相比,FPGA/CPLD的规模比较大,适合于时序、组合等逻辑电路的应用。它可以替代几十甚至上百块通用IC芯片。这种芯片具有可编程和实现方案容易改动等特点。由于芯片内部硬件连接关系的描述可以存放在磁盘、ROM、PROM、或EPROM中,因而在可编程门阵列芯片及外围电路保持不动的情况下,换一块EPROM芯片,就能实现一种新的功能。它具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及实时在检验等优点,因此,可广泛应用于产品的原理设计和产品生产之中。几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。在现代电子系统中,数字系统所占的比例越来越大。系 统发展的越势是数字化和集成化,而FPGA作为可编程ASIC(专用集成电路)器件,它将在数字逻辑系统中发挥越来越重要的作用。 通用分频器基本原理 整数分频包括偶数分频和奇数分频,对于偶数N分频,通常是由模N/2计数器实现一个占空比为1:1的N分频器,分频输出信号模N/2自动取反。对于奇数N分频,上述方法就不适用了,而是由模N计数器实现非等占空比的奇数N分频 用Verilog 实现基于FPGA 的 通用分频器 ■ 华北电力大学(北京)信息工程系/唐晓燕,梁光胜,王玮

三分频扬声器系统分频器电感的精确设计

三分频扬声器系统分频器电感的精确设计 1 引言 扬声器系统的分频器分为前级分频和功率分频2类。前级分频是前级电路中由电子元件产生的分频,再由各自的功放分别驱动高﹑中﹑低音扬声器系统,如图(1a)所示,属于小信号有源分频。而功率分频则是由电感、电容、电阻元件构成的位于功放与扬声器之间的无源分频电路,如图(1b)所示。 采用功率分频的扬声器系统结构简单、成本低,而且又能获得很高的放音质量,因而在现代高保真放音系统中应用最为普遍。其性能的好坏与扬声器的各项指标以及分频电路、电感元件的性能、精度有密不可分的关系,精确计算电感参数便是成功的关键。 2 对分频器电路、元件的要求 (1)电路中电感元件直流电阻、电感值误差越小越好。而且为使频响曲线平坦最好使用空心电感。(2)电路中电容元件损耗尽可能小。最好使用音频专用金属化聚丙烯电容。 (3)使各扬声器单元分配到较平坦的信号功率,且起到保护高频扬声器的作用。 (4)各频道分频组合传输功率特性应满足图2所示特性曲线的要求(P0为最大值,P1为对应分频点f1、f2的值)。分频点处的功率与功率最大值之间幅度应满足P1(=0.3~0.5)P0的范围。 (5)整个频段内损耗平坦,基本不出现“高峰”和“深谷”。 3 分频电感电容参数值的计算

下面以三分频分频器为例说明其参数的计算,如图3所示。 1)计算分频电感L1,L2,L3,L4和分频电容C1,C2,C3,C4。 为了得到理想的频谱特性曲线,理论计算时可取:C1=C4,C3=C2,L1=L3,L4=L2,分频点频率为f1,(f2见图2),则分频点ω1=2πf0,ω2=2πf2。并设想高、中、低扬声器阻抗均相同为RL。每倍频程衰减12 dB。 2)实验修正C1,C2,C3,C4,L1,L2,L3,L4的值 为精确起见,可用实验方法稍微调整C1,C2,C3,C4,L1,L2,L3,L4的值,以满足设计曲线﹙见图2﹚的要求。即通过实验描绘频响曲线,从而得到C1,C2,C3,C4,L1,L2,L3,L4的最佳值。如果没有实验条件,这一步也可不做。求出电容电感的值后就可计算电感值了。 4 最佳结构电感的作用 4.1最佳结构电感的提出 空心分频电感(简称电感)的基本参数是电感量和直流电阻。一般来说,电感量不准会导致分频点偏离设计要求并可能影响扬声器系统的频响,大家都比较重视。然而其直流电阻不宜过大,否则会对音质产生影响。通常人们对此电阻在电路中的影响及其定量要求不甚了解,因此未引起足够重视,对此特作以下简要分析。 以图3的分频网络为例,由于低音单元的分频电感L2与负载R(L低音单元额定阻抗)相串联,因此若L2的阻抗过大,功放输出功率在其上的损耗将增大。同时,功放内阻对低音单元的阻尼作用也将大大减弱。前者影响功放的有效输出功率,后者对音质的影响却无可挽回。由于分频网络中L2的电感量最大,且随分频点的降低而增大,所以L2的直流电阻的影响相当突出。 至于高音单元的分频电感L1,因它未与负载串联,就不存在L2那样的功耗和阻尼问题。但是仍希望其阻

音响名词解释

音响名词解释 1、音箱 音箱是将电信号还原成声音信号的一种装置,还原真实性将作为评价音箱性能的重要标准。有源音箱就是带有功率放大器(即功放)的音箱系统。把功率放大器和扬声器发声系统做成一体,可直接与一般的音源(如随身听、CD机、影碟机、录像机等)搭配,构成一套完整的音响组合。有了有源音箱,就无需另购功率放大器,不再为合理选配功放、音箱而发愁,操作简便,其极高的性能价格比,为工薪阶层所普遍接受。 按照发声原理及内部结构不同,音箱可分为倒相式、密闭式、平板式、号角式、迷宫式等几种类型,其中最主要的形式是密闭式和倒相式。密闭式音箱就是在封闭的箱体上装上扬声器,效率比较低;而倒相式音箱与它的不同之处就是在前面或后面板上装有圆形的倒相孔。它是按照赫姆霍兹共振器的原理工作的,优点是灵敏度高、能承受的功率较大和动态范围广。因为扬声器后背的声波还要从导相孔放出,所以其效率也高于密闭箱。而且同一只扬声器装在合适的倒相箱中会比装在同体积的密闭箱中所得到的低频声压要高出3dB,也就是有益于低频部分的表现,所以这也是倒相箱得以广泛流行的重要原因。 有源音箱的一些特性: ?防磁:音箱扬声器的磁场会严重干扰电视机和电脑显示器的屏幕,并使屏幕扭曲和大块色彩失真现象,这叫“磁化”。为避免不防磁的音箱对显示器的损坏,就要求音箱应具有防 磁效果,即使紧贴电视机和显示器也不会干扰屏幕,办法很简单,那就是使用“防磁”扬 声器。通常防磁的扬声器价格比普通喇叭高许多。 ?全频带扬声器:这是多媒体有源音箱专用的环绕喇叭,因为X.1声道为降低成本,把分立喇叭(需要两只扬声器分频)简化成全频带扬声器,基本能表现出整个音域范围。做得好 的全频带扬声器比廉价的同轴扬声器更出色。但说老实话扬声器很难完全覆盖人耳的可 闻频率范围,需要由多只扬声器共同负担整个音域的声音重放。并通过分频电路来解决 这个问题,所以还是以双分频高低音设计的有源音箱进行回放效果比较好。 ?平板式音箱:最近很流行平板式喇叭的音箱设计,大概是大家看中了它的美观小巧,还可以嵌入相片,很酷啊!平板式音箱的优点是声音的均匀性和指向性好,但受结构限制, 音域较窄,无法表现出低频的声音,所以一般配用低音炮使用。建议对声音要求高的朋 友不要选购平板式音箱。 ?USB音箱:就是将数字音频信号从主板上的USB口直接输进音箱,再通过音箱内置的D/A转换电路将信号处理后再输出的音箱。表面上看采用USB音箱的优点是可以提高音 质,因为数字信号在传输过程中不会受到干扰,信号的纯净度好,但USB音箱的核心是 D/A转换电路,其转换精度对音箱的性能影响很大,目前市场上流行的D/A转换电路有 16bit和20bit两种,当然是后者为佳,这个数据比发烧级功放差了很多(因为不可能用成 本过高的模块)。USB音箱的缺点是CPU占用率高,老式主板也不支持USB。购买USB 音箱可以不买声卡,但这样就无法实现EAX、硬波表等需要硬件来完成的功能。国外的 名牌HiFi箱基本没有USB的设计,所以对音质要求很高的朋友大可不必考虑USB音箱。

相关主题
文本预览
相关文档 最新文档