当前位置:文档之家› RF电路及设计的基础知识

RF电路及设计的基础知识

RF电路及设计的基础知识
RF电路及设计的基础知识

微波电路及设计的基础知识

1. 微波电路的基本常识

2. 微波网络及网络参数

3. Smith圆图

4. 简单的匹配电路设计

5. 微波电路的计算机辅助设计技术及常用的CAD软件

6. 常用的微波部件及其主要技术指标

7. 微波信道分系统的设计、计算和指标分配

8. 测试及测试仪器

微波电路及其设计

1.概述

所谓微波电路,通常是指工作频段的波长在10m~1cm(即30MHz~30GHz)之间的电路。此外,还有毫米波(30~300GHz)及亚毫米波(150GHz~3000GHz)等。

实际上,对于工作频率较高的电路,人们也经常称为“高频电路”或“射频(RF)电路”等等。

由于微波电路的工作频率较高,因此在材料、结构、电路的形式、元器件以及设计方法等方面,与一般的低频电路和数字电路相比,有很多不同之处和许多独特的地方。

作为一个独立的专业领域,微波电路技术无论是在理论上,还是在材料、工艺、元器件、以及设计技术等方面,都已经发展得非常成熟,并且应用领域越来越广泛。

另外,随着大规模集成电路技术的飞速发展,目前芯片的工作速度已经超过了1GHz。在这些高速电路的芯片、封装以及应用电路的设计中,一些微波电路的设计技术也已得到了充分的应用。以往传统的低频电路和数字电路,与微波电路之间的界限将越来越模糊,相互间的借鉴和综合的技术应用也会越来越多。

2.微波电路的基本常识

2.1 电路分类

2.1.1 按照传输线分类

微波电路可以按照传输线的性质分类,如:

图1 微带线

图2 带状线

图3 同轴线

图4 波导

图5 共面波导

2.1.2 按照工艺分类

微波混合集成电路:采用分离元件及分布参数电路混合集成。

微波集成电路(MIC):采用管芯及陶瓷基片。

微波单片集成电路(MMIC):采用半导体工艺的微波集成电路。

图6微波混合集成电路示例

图7 微波集成电路(MIC)示例

图8微波单片集成电路(MMIC)示例

2.1.3 微波电路还可以按照有源电路和无源电路分类。其中,有源电路包括放大器、振荡器等;无源电路包括分路器、耦合器、移相器、开关、混频器和滤波器等。

2.2 常用的微波传输线电路元件和不连续性元件

图9 传输线段

图10 耦合线

图11 开路线

图12 短路线

图13 直角拐弯线

图14 阶梯线

图15 渐变线

图16 缝隙

图17 T型结

图18 十字结

其他还有一些如扇形线、Lange耦合器、交指电容和螺旋电感等等。

2.3 常用的微波元器件

这里主要介绍一些常用的贴装无源器件和微波半导体器件。

图19 片状叠层电容及单层电容

图20 片状叠层电感及线绕电感

图21 片状电阻

图22 贴装可调电容

图23 贴装电位器

图24 微波二极管(封装及芯片)

图25 微波三极管和场效应晶体管(封装及芯片)

图26 微波单片集成电路(MMIC)(封装及芯片)

2.4 常用的微波介质基片

我们经常使用的微波介质材料如表1所示。

表1 几种经常使用的微波介质材料

RT/duroid? Series RO4000? Series TMM? Series 图27 Rogers公司生产的几种微波介质基片

注:我司主要使用4350B,FR4电路板。一般在1.5GHZ且功率小的时候用FR4,高于1.5GHZ或者大功率的时候考虑4350B。

3. 微波网络及网络参数

3.1 具有特定内容(含义)的特殊微波网络

3.1.1 平行耦合线定向耦合器

图28平行耦合线定向耦合器3.1.2 兰格(Lange)定向耦合器

图29 Lange定向耦合器3.1.3 威尔金森(Wilkinson)功分器/合路器

图30功分器/合路器

3.1.4 阶梯阻抗变换器

图31阶梯阻抗变换器

3.1.5 微带线低通滤波器

图32微带线低通滤波器

3.1.6 平行耦合线带通滤波器

9

10

11

12

13

14

15

-80

-60

-40

-20

freq, GHz

d B (S (2,1))

d B (S (1,1))

图33平行耦合线带通滤波器

3.1.7 其它,如交指滤波器、谢夫曼移相器及分支线定向耦合器等,也都具有固定(特定)的网络形式。 3.2 一般网络

微波网络是由各种微波元件根据需要组合而成,所以网络的形式具有任意性。上面介绍的那些特殊网络只是其中一些典型的形式而已。

一般来说,简单的网络通常是窄带的电路,如λg/4线。这一点,在设计宽带匹配电路时,需要引起注意。 3.3 网络参数

我们经常使用S 参数(即散射参数)来描述微波网络。以下面的二端口网络为例。

图34 二端口微波网络

在图34所示的二端口微波网络中,a1和b1分别为端口1的归

一化入射电压波和反射电压波;a2和b2分别为端口2的归一化入射电压波和反射电压波。二端口微波网络的输入和输出之间的关系可以表示为

?

??

+=+=22212122121111a s a s b a s a s b (1)

[]=S ??

?

?

??22211211

s s s s (2) 式(1)称做散射方程,[]S 叫散射矩阵或散射参数。 由式(1)可以得出二端口网络的S 参数为:

S11=

211

=a a b ,即当端口2匹配时(Z L =Z 0),端口1的反射系数; S22=

122

=a a b ,即当端口1匹配时(Z S =Z 0),端口2的反射系

数;

S12=

121

=a a b , 即当端口1匹配时,端口2到端口1的传输系

数;

S21=

212

=a a b ,即当端口2匹配时,端口1到端口2的传输系

数。

通过上面的分析我们可以看出,微波网络的S 参数具有确定的物理意义。实际上,我们以往所经常使用的如Z 参数、Y 参数和H 参数等均可以通过计算与S 参数互相换算。但在微波频率上,只有S

参数是可以测量出来的,这样也就解决了微波网络参数的测量问题。

另外,对于端口数为N的多端口网络,我们同样可以得到类似于式(1)的表达式,这时[]S为N×N维的矩阵。

4.史密斯(Smith)圆图

Smith圆图是一个非常有用的图形化的匹配电路设计和分析工具,且方便有效,在微波电路设计过程中会经常用到。

另外,Smith圆图有阻抗圆图和导纳圆图两种形式,可以视具体情况选用。

图35 Smith阻抗圆图

Z=30+j25Ω

图36 Smith圆图的应用示例

图37 图解用的Smith圆图标准图纸

由图35我们可以看到,在Smith阻抗圆图中存在等电阻圆、等电抗线、纯电阻线、电感平面(jωL)、电容平面(1/ jωC)、开路点、短路点和50Ω点等等。

当然,相对应的在导纳圆图中也存在等电导圆和等导纳线等。

5微波电路的计算机辅助设计技术及常用的CAD软件

自20世纪70年代以来,微波电路CAD技术已经取得了很大的进步。一方面是各CAD软件厂商推出了很多通用和专用的微波电路CAD软件产品,包括电原理图输入和微波电路的图形输入、电路的仿真和优化、容差分析、版图生成及输出、与测试仪器接口等功能,并有许许多多的电路模型库、元件库、半导体器件的线性模型库和非线性模型库等可供选择,应该可以说是功能强大、使用方便、应有尽有。而另一方面,微波电路CAD软件也已被广泛应用于各种微波电路的设计,并成为微波工程师必须掌握的设计工具。

5.1 常用的微波电路CAD软件

微波电路的CAD软件大致可以分成下面几类:

①线性/非线性微波电路仿真软件;

②2.5D平面电路电磁场仿真软件;

③3D电磁场仿真软件;

④系统仿真软件;

⑤专用电路的设计软件。

⑥排版软件

表2 主要的微波电路CAD软件简介

5.2 微波电路计算计辅助设计-简介

微波电路计算计辅助设计(CAD)技术是电子设计自动化(EDA)技术的一个分支,用于射频及微波电路的计算机仿真和优化设计。6.2.1 微波电路CAD的特点及主要内容

与其它电子EDA技术相比,微波电路CAD软件具有以下几个特点:

①必须有精确的传输线模型和各种器件模型;

②有时必须采用电磁场仿真等数值仿真工具;

③一般都具有S参数分析的功能。

硬件电路设计基础知识

硬件电子电路基础

第一章半导体器件 §1-1 半导体基础知识 一、什么是半导体 半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si 锗Ge等+4价元素以及化合物)

二、半导体的导电特性 本征半导体――纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略) 1、半导体的导电率会在外界因素作用下发生变化 ?掺杂──管子 ?温度──热敏元件 ?光照──光敏元件等 2、半导体中的两种载流子──自由电子和空穴 ?自由电子──受束缚的电子(-) ?空穴──电子跳走以后留下的坑(+) 三、杂质半导体──N型、P型 (前讲)掺杂可以显著地改变半导体的导电特性,从而制造出杂质半导体。 ?N型半导体(自由电子多) 掺杂为+5价元素。如:磷;砷P──+5价使自由电子大大增加原理:Si──+4价P与Si形成共价键后多余了一个电子。 载流子组成: o本征激发的空穴和自由电子──数量少。 o掺杂后由P提供的自由电子──数量多。 o空穴──少子 o自由电子──多子 ?P型半导体(空穴多) 掺杂为+3价元素。如:硼;铝使空穴大大增加 原理:Si──+4价B与Si形成共价键后多余了一个空穴。 B──+3价 载流子组成:

o本征激发的空穴和自由电子──数量少。 o掺杂后由B提供的空穴──数量多。 o空穴──多子 o自由电子──少子 结论:N型半导体中的多数载流子为自由电子; P型半导体中的多数载流子为空穴。 §1-2 PN结 一、PN结的基本原理 1、什么是PN结 将一块P型半导体和一块N型半导体紧密第结合在一起时,交界面两侧的那部分区域。 2、PN结的结构 分界面上的情况: P区:空穴多 N区:自由电子多 扩散运动: 多的往少的那去,并被复合掉。留下了正、负离子。 (正、负离子不能移动) 留下了一个正、负离子区──耗尽区。 由正、负离子区形成了一个内建电场(即势垒高度)。 方向:N--> P 大小:与材料和温度有关。(很小,约零点几伏)

RF射频电路设计

RF电路的PCB设计技巧 如今PCB的技术主要按电子产品的特性及要求而改变,在近年来电子产品日趋多功能、精巧并符合环保条例。故此,PCB的精密度日高,其软硬板结合应用也将增加。 PCB是信息产业的基础,从计算机、便携式电子设备等,几乎所有的电子电器产品中都有电路板的存在。随着通信技术的发展,手持无线射频电路技术运用越来越广,这些设备(如手机、无线PDA等)的一个最大特点是:第一、几乎囊括了便携式的所有子系统;第二、小型化,而小型化意味着元器件的密度很大,这使得元器件(包括SMD、SMC、裸片等)的相互干扰十分突出。因此,要设计一个完美的射频电路与音频电路的PCB,以防止并抑制电磁干扰从而提高电磁兼容性就成为一个非常重要的课题。 因为同一电路,不同的PCB设计结构,其性能指标会相差很大。尤其是当今手持式产品的音频功能在持续增加,必须给予音频电路PCB布局更加关注.据此本文对手持式产品RF电路与音频电路的PCB的巧妙设计(即包括元件布局、元件布置、布线与接地等技巧)作分析说明。 1、元件布局 先述布局总原则:元器件应尽可能同一方向排列,通过选择PCB进入熔锡系统的方向来减少甚至避免焊接不良的现象;由实践所知,元器件间最少要有 0.5mm的间距才能满足元器件的熔锡要求,若PCB板的空间允许,元器件的间距应尽可能宽。对于双面板一般应设计一面为SMD及SMC元件,另一面则为分立元件。 1.1 把PCB划分成数字区和模拟区 任何PCB设计的第一步当然是选择每个元件的PCB摆放位。我们把这一步称为“布板考虑“。仔细的元件布局可以减少信号互连、地线分割、噪音耦合以及占用电路板的面积。 电磁兼容性要求每个电路模块PCB设计时尽量不产生电磁辐射,并且具有一定的抗电磁干扰能力,因此,元器件的布局还直接影响到电路本身的干扰及抗干扰能力,这也直接关系到所设计电路的性能。

2016年《射频电路设计》实验

实验三RFID标签的设计、制作及测试一、【实验目的】 在实际的生产过程中,RFID电子标签在设计并测试完成后,都是在流水线上批量制造生产的。为了让学生体会RFID标签天线设计的理念和工艺,本实验为学生提供了一个手工蚀刻制作RFID电子标签的平台,再配合微调及测试,让学生在亲自动手的过程中,不断地尝试、提炼总结,从而使学生对RFID标签天线的设计及生产工艺,有进一步深刻的理解。 二、【实验仪器及材料】 计算机一台、HFSS软件、覆铜板、Alien Higgs芯片、热转印工具、电烙铁、标签天线实物,UHF测试系统,皮尺 三、【实验内容】 第一步(设计):从UHF标签天线产品清单中,挑选出一款天线结构,或者自己设计一款标签天线结构,进行HFSS建模画图 第二步(制作):将第一步中设计好的标签模型用腐蚀法进行实物制作 第三步(测试):利用UHF读写器测试第二步中制作的标签实物性能 四、【实验要求的知识】 下图是Alien(意联)公司的两款标签天线,型号分别为ALN-9662和ALN-9640。这两款天线均采用弯折偶极子结构。弯折偶极子是从经典的半波偶极子结构发展而来,半波偶极子的总长度为波长的一半,对于工作在UHF频段的半波偶极子,其长度为160mm,为了使天线小型化,采用弯折结构将天线尺寸缩小,可以适用于更多的场合。ALN-9662的尺寸为70mm x 17mm,ALN-9640的尺寸为94.8mm x 8.1mm,之所以有不同的尺寸是考虑到标签的使用情况和应用环境,因为天线的形状和大小必须能够满足标签顺利嵌入或贴在所指定的目标上,也需要适合印制标签的使用。例如,硬纸板盒或纸板箱、航空公司行李条、身份识别卡、图书等。 ALN-9662天线版图 ALN-9640天线版图

电路硬件设计基础

1.1电路硬件设计基础 1.1.1电路设计 硬件电路设计原理 嵌入式系统的硬件设计主要分3个步骤:设计电路原理图、生成网络表、设计印制电路板,如下图所示。 图1-1硬件设计的3个步骤 进行硬件设计开发,首先要进行原理图设计,需要将一个个元器件按一定的逻辑关系连接起来。设计一个原理图的元件来源是“原理图库”,除了元件库外还可以由用户自己增加建立新的元件,用户可以用这些元件来实现所要设计产品的逻辑功能。例如利用Protel 中的画线、总线等工具,将电路中具有电气意义的导线、符号和标识根据设计要求连接起来,构成一个完整的原理图。 原理图设计完成后要进行网络表输出。网络表是电路原理设计和印制电路板设计中的一个桥梁,它是设计工具软件自动布线的灵魂,可以从原理图中生成,也可以从印制电路板图中提取。常见的原理图输入工具都具有Verilog/VHDL网络表生成功能,这些网络表包含所有的元件及元件之间的网络连接关系。 原理图设计完成后就可进行印制电路板设计。进行印制电路板设计时,可以利用Protel 提供的包括自动布线、各种设计规则的确定、叠层的设计、布线方式的设计、信号完整性设计等强大的布线功能,完成复杂的印制电路板设计,达到系统的准确性、功能性、可靠性设计。 电路设计方法(有效步骤) 电路原理图设计不仅是整个电路设计的第一步,也是电路设计的基础。由于以后的设计工作都是以此为基础,因此电路原理图的好坏直接影响到以后的设计工作。电路原理图的具体设计步骤,如图所示。

图1-2原理图设计流程图 (1)建立元件库中没有的库元件 元件库中保存的元件只有常用元件。设计者在设计时首先碰到的问题往往就是库中没有原理图中的部分元件。这时设计者只有利用设计软件提供的元件编辑功能建立新的库元件,然后才能进行原理图设计。 当采用片上系统的设计方法时,系统电路是针对封装的引脚关系图,与传统的设计方法中采用逻辑关系的库元件不同。 (2)设置图纸属性 设计者根据实际电路的复杂程度设置图纸大小和类型。图纸属性的设置过程实际上是建立设计平台的过程。设计者只有设置好这个工作平台,才能够在上面设计符合要求的电路图。 (3)放置元件 在这个阶段,设计者根据原理图的需要,将元件从元件库中取出放置到图纸上,并根据原理图的需要进行调整,修改位置,对元件的编号、封装进行设置等,为下一步的工作打下基础。 (4)原理图布线 在这个阶段,设计者根据原理图的需要,利用设计软件提供的各种工具和指令进行布线,将工作平面上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图。 (5)检查与校对 在该阶段,设计者利用设计软件提供的各种检测功能对所绘制的原理图进行检查与校对,以保证原理图符合电气规则,同时还应力求做到布局美观。这个过程包括校对元件、导线位置调整以及更改元件的属性等。 (6)电路分析与仿真 这一步,设计者利用原理图仿真软件或设计软件提供的强大的电路仿真功能,对原理图的性能指标进行仿真,使设计者在原理图中就能对自己设计的电路性能指标进行观察、测试,从而避免前期问题后移,造成不必要的返工。

射频电路基础期末试题

西安电子科技大学 教师教学工作一览 年下学期 课程名称: 课程性质(必、限、任): 课程学时数: 主讲教师姓名: 填表时间:

教学任务书 老师: 根据学年学期教学计划的安排,经研究,决定请您担任教学班课程的主讲,该课程学时为学时,请做好教学实施计划安排和备课等环节的工作。 西安电子科技大学 (教学单位盖章) 年月日

课程内容实施进度 注:1课次为2学时课次内容 1 第一章绪论§1.1非线性电子线路§1.2非线性电子线路的应用 2 第二章谐振功率放大器§2.1谐振功放的工作原理和能量关系 3 §2.2谐振功放的动特性曲线和工作状态§2.3谐振功放的工作特性 4 §2.4谐振功放的电路设计和输出匹配网络第二章习题课 5 第三章正弦波振荡器§3.1反馈式振荡器的工作原理(一) 6 §3.1反馈式振荡器的工作原理(二) 7 §3.2 LC正弦波振荡器—变压器耦合式振荡器、三端式振荡器(一) 8 §3.2 LC正弦波振荡器—三端式振荡器(二)、差分对振荡器 9 §3.2 LC正弦波振荡器—频率稳定度分析和改进措施 10 §3.3并联型石英晶体振荡器和串联型石英晶体振荡器 11 §3.4 RC正弦波振荡器第三章习题课 12 第五章振幅调制与解调§5.1 调幅信号分析(一) 13 §5.1调幅信号分析(二) 14 §5.2非线性器件调幅原理、失真和平衡对消技术 15 §5.3线性时变电路调幅原理和电路分析(一) 16 §5.3线性时变电路调幅原理和电路分析(二) 17 §5.4包络检波和同步检波原理和电路分析(一) 18 §5.4包络检波和同步检波原理和电路分析(二)第五章习题课 19 第六章混频§6.1晶体管混频器原理

硬件基础知识

第三章硬件基础知识学习 通过上一课的学习,我们貌似成功的点亮了一个LED小灯,但是还有一些知识大家还没有 彻底明白。单片机是根据硬件电路图的设计来写代码的,所以我们不仅仅要学习编程知识,还有硬件知识,也要进一步的学习,这节课我们就要来穿插介绍电路硬件知识。 3.1 电磁干扰EMI 第一个知识点,去耦电容的应用,那首先要介绍一下去耦电容的应用背景,这个背景就是电磁干扰,也就是传说中的EMI。 1、冬天的时候,尤其是空气比较干燥的内陆城市,很多朋友都有这样的经历,手触碰到电脑外壳、铁柜子等物品的时候会被电击,实际上这就是“静电放电”现象,也称之为ESD。 2、不知道有没有同学有这样的经历,早期我们使用电钻这种电机设备,并且同时在听收音机或者看电视的时候,收音机或者电视会出现杂音,这就是“快速瞬间群脉冲”的效果,也称之为EFT。 3、以前的老电脑,有的性能不是很好,带电热插拔优盘、移动硬盘等外围设备的时候,内部会产生一个百万分之一秒的电源切换,直接导致电脑出现蓝屏或者重启现象,就是热插拔的“浪涌”效果,称之为Surge... ... 电磁干扰的内容有很多,我们这里不能一一列举,但是有些内容非常重要,后边我们要一点点的了解。这些问题大家不要认为是小问题,比如一个简单的静电放电,我们用手能感觉到的静电,可能已经达到3KV以上,如果用眼睛能看得到的,至少是5KV了,只是因为 这个电压虽然很高,电量却很小,因此不会对人体造成伤害。但是我们应用的这些半导体元器件就不一样了,一旦瞬间电压过高,就有可能造成器件的损坏。而且,即使不损坏,在2、3里边介绍的两种现象,也严重干扰到我们正常使用电子设备了。 基于以上的这些问题,就诞生了电磁兼容(EMC)这个名词。这节课我们仅仅讲一下去耦

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

模拟电路设计 基础知识(笔试时候容易遇到的题目)

模拟电路设计基础知识(笔试时候容易遇到的 题目) 1、最基本的如三极管曲线特性(太低极了点) 2、基本放大电路,种类,优缺点,特别是广泛采用差分结构的原因 3、反馈之类,如:负反馈的优点(带宽变大) 4、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法 5、锁相环电路组成,振荡器(比如用D触发器如何搭) 6、A/D电路组成,工作原理如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举太底层的MOS管物理特性感觉一般不大会作为笔试面试题,因为全是微电子物理,公式推导太罗索,除非面试出题的是个老学究 ic设计的话需要熟悉的软件adence, Synopsys, Advant,UNIX当然也要大概会操作实际工作所需要的一些技术知识(面试容易问到) 如电路的低功耗,稳定,高速如何做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就不一样了,不好说什么了。 2、数字电路设计当然必问Verilog/VHDL,如设计计数器逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等比如:设计一个自动售货

机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数1、画出fsm(有限状态机)2、用verilog编程,语法要符合fpga设计的要求系统方面:如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题3、单片机、DSP、FPG A、嵌入式方面(从没碰过,就大概知道几个名字胡扯几句,欢迎拍砖,也欢迎牛人帮忙补充)如单片机中断几个/类型,编中断程序注意什么问题 DSP的结构(冯、诺伊曼结构吗?)嵌入式处理器类型(如ARM),操作系统种类 (Vxworks,ucos,winCE,linux),操作系统方面偏CS方向了,在CS篇里面讲了4、信号系统基础拉氏变换与Z变换公式等类似东西,随便翻翻书把如、h(n)=-a*h(n-1)+b*δ(n) a、求h(n)的z变换 b、问该系统是否为稳定系统 c、写出F IR数字滤波器的差分方程以往各种笔试题举例利用4选1实现F(x,y,z)=xz+yz 用mos管搭出一个二输入与非门。 用传输门和倒向器搭一个边沿触发器用运算放大器组成一个10倍的放大器微波电路的匹配电阻。 名词解释,无聊的外文缩写罢了,比如PCI、EC C、DDR、interrupt、pipeline IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换) 或者是中文的,比如 a量化误差 b、直方图 c、白平衡共同的注

硬件电路设计基础知识.docx

硬件电子电路基础关于本课程 § 4—2乙类功率放大电路 § 4—3丙类功率放大电路 § 4—4丙类谐振倍频电路 第五章正弦波振荡器 § 5—1反馈型正弦波振荡器的工作原理 § 5— 2 LC正弦波振荡电路 § 5— 3 LC振荡器的频率稳定度 § 5—4石英晶体振荡器 § 5— 5 RC正弦波振荡器

第一章半导体器件 §1半导体基础知识 §1PN 结 §-1二极管 §1晶体三极管 §1场效应管 §1半导体基础知识 、什么是半导体半导体就是导电能力介于导体和绝缘体之间的物质。(导电能力即电导率)(如:硅Si锗Ge等+ 4价元素以及化合物) 、半导体的导电特性本征半导体一一纯净、晶体结构完整的半导体称为本征半导体。 硅和锗的共价键结构。(略)

1、半导体的导电率会在外界因素作用下发生变化 ?掺杂一一管子 *温度--- 热敏元件 ?光照——光敏元件等 2、半导体中的两种载流子一一自由电子和空穴 ?自由电子——受束缚的电子(一) ?空穴——电子跳走以后留下的坑(+ ) 三、杂质半导体——N型、P型 (前讲)掺杂可以显著地改变半导体的导电特性,从而制造出杂质半导体。 *N型半导体(自由电子多) 掺杂为+ 5价元素。女口:磷;砷P—+ 5价使自由电子大大增加原理:Si—+ 4价P与Si形成共价键后多余了一个电子。 载流子组成: o本征激发的空穴和自由电子——数量少。 o掺杂后由P提供的自由电子——数量多。 o 空穴——少子 o 自由电子------ 多子 ?P型半导体(空穴多) 掺杂为+ 3价元素。女口:硼;铝使空穴大大增加 原理:Si—+ 4价B与Si形成共价键后多余了一个空穴。 B——+ 3价 载流子组成: o本征激发的空穴和自由电子数量少。 o掺杂后由B提供的空穴——数量多。 o 空穴——多子 o 自由电子——少子

电路设计的基本原理和方法

电路设计的基本原理和方法 本人经过整理得出如下的电路设计方法,希望对广大电子爱好者及热衷于硬件研发的朋友有所帮助。 电子电路的设计方法 设计一个电子电路系统时,首先必须明确系统的设计任务,根据任务进行方案选择,然后对方案中的各个部分进行单元的设计,参数计算和器件选择,最后将各个部分连接在一起,画出一个符合设计要求的完整的系统电路图。 一.明确系统的设计任务要求 对系统的设计任务进行具体分析,充分了解系统的性能,指标,内容及要求,以明确系统应完成的任务。 二.方案选择 这一步的工作要求是把系统要完成的任务分配给若干个单元电路,并画出一个能表示各单元功能的整机原理框图。 方案选择的重要任务是根据掌握的知识和资料,针对系统提出的任务,要求和条件,完成系统的功能设计。在这个过程中要敢于探索,勇于创新,力争做到设计方案合理,可靠,经济,功能齐全,技术先进。并且对方案要不断进行可行性和有缺点的分析,最后设计出一个完整框图。框图必须正确反映应完成的任务和各组成部分的功能,清楚表示系统的基本组成和相互关系。 三.单元电路的设计,参数计算和期间选择 根据系统的指标和功能框图,明确各部分任务,进行各单元电路的设计,参数计算和器件选择。 1.单元电路设计 单元电路是整机的一部分,只有把各单元电路设计好才能提高整机设计水平。 每个单元电路设计前都需明确各单元电路的任务,详细拟定出单元电路的性能指标,与前后级之间的关系,分析电路的组成形式。具体设计时,可以模仿传输的先进的电路,也可以进行创新或改进,但都必须保证性能要求。而且,不仅单元电路本身要设计合理,各单元电路间也要互相配合,注意各部分的输入信号,输出信号和控制信号的关系。 2.参数计算 为保证单元电路达到功能指标要求,就需要用电子技术知识对参数进行计算。例如,放大电路中各电阻值,放大倍数的计算;振荡器中电阻,电容,振荡频率等参数的计算。只有很好的理解电路的工作原理,正确利用计算公式,计算的参数才能满足设计要求。 参数计算时,同一个电路可能有几组数据,注意选择一组能完成电路设计要求的功能,在实践中能真正可行的参数。 计算电路参数时应注意下列问题: (1)元器件的工作电流,电压,频率和功耗等参数应能满足电路指标的要求; (2)元器件的极限参数必须留有足够充裕量,一般应大于额定值的1.5倍; (3)电阻和电容的参数应选计算值附近的标称值。 3.器件选择 (1)元件的选择 阻容电阻和电容种类很多,正确选择电阻和电容是很重要的。不同的电路对电阻和电容性能要求也不同,有解电路对电容的漏电要求很严,还有些电路对电阻,电容的性能和容量要求很高。例如滤波电路中常用大容量(100uF~3000uF)铝电解电容,为滤掉高频通常

ADS射频电路设计基础与典型应用解析

实验报告 课程名称: ADS射频电路设计基础与典型应用实验项目名称:交直流仿真分析 学院:工学院 专业班级:11级信息 姓名: 学号:1195111016 指导教师:唐加能 2014年12月23 日 预习报告

一、 实验目的 通过本节实验课程进一步熟悉使用ADS 软件,并学会使用ADS 软件进行交直流分析。 二、 实验仪器 电脑,ADS 仿真软件 三、 实验原理 (一)ADS 软件的直流,交流仿真功能 1.直流仿真 电路的直流仿真是所有射频有源电路分析的基础,在执行有源电路交流分析、S 参数仿真或谐波平衡仿真等其他仿真前,首先需要进行直流仿真,直流仿真主要用来分析电路的直流工作点。直流仿真元件面板主要包括直流仿真控制器、直流仿真设置控制器、参数扫描计划控制器、参数扫描控制器、节点设置和节点名控件、显示模板控件和仿真测量等式控件,这些面板上的原件经过设置以后既可以提供有源电路单点的直流分析,又可以提供有源电路参数扫描分析。 2.交流仿真 交流仿真能获得电路小信号时的多种参数,如电压增益、电流增益、跨导和噪声等。交流仿真执行时,首先对电路进行直流分析,并找到非线性原件的直流工作点,然后将非线性器件在静态工作点附近进行线性化处理,分析小信号在静态工作点附近的输入输出关系。 (二)交直流仿真面版与控制原件 1.直流仿真 图1中元件面板列出了直流仿真的所有仿真控件。 直流仿真控制器(DC ):直流仿真控制器(DC ) 是控制直流仿真的最重要控件,使用直流仿真控制器可以设置仿 真的扫描参数和参数的扫描范围等相关参数。 直流仿真设置控制器(OPTIONS ):直流仿真设置控制器主要用来设置直流仿真的外部环境和计算方式,例如,环境温度、设备温度、仿真的收敛性、仿真的状态提示和输出文件的特性等相关内容。

硬件工程师必用20个电子线路图

这20个电子线路图,硬件工程师一定用得上! 电子技术、无线电维修及电子制造工艺技术绝不是一门容易学好、短时间内就能够掌握的学科。这门学科所涉及的方方面面很多,各方面又相互联系,作为初学者,首先要在整体上了解、初步掌握它。 无论是无线电爱好者还是维修技术人员,你能够说出电路板上那些小元件叫做什么,又有什么作用吗?如果想成为元件(芯片)级高手的话,掌握一些相关的电子知识是必不可少的。 普及与电子基础知识,拓宽思路交流,知识的积累是基础的基础,基础和基本功扎实了才能奠定攀登高峰阶梯!这就是基本功。 电子技术的历史背景: 早在两千多年前,人们就发现了电现象和磁现象。我国早在战国时期(公元前475一211年)就发明了司南。而人类对电和磁的真正认识和广泛应用、迄今还只有一百多年历史。在第一次产业革命浪潮的推动下,许多科学家对电和磁现象进行了深入细致的研究,从而取得了重大进展。人们发现带电的物体同性相斥、异性相吸,与磁学现象有类似之处。 1785年,法国物理学家库仑在总结前人对电磁现象认识的基础上,提出了后人所称的“库仑定律”,使电学与磁学现象得到了统一。 1800年,意大利物理学家伏特研制出化学电池,用人工办法获得了连续电池,为后人对电和磁关系的研究创造了首要条件。 1822年,英国的法拉第在前人所做大量工作的基础上,提出了电磁感应定律,证明了“磁”能够产生“电”,这就为发电机和电动机的原理奠定了基础。 1837年美国画家莫尔斯在前人的基础上设计出比较实用的、用电码传送信息的电报机,之后,又在华盛顿与巴尔的摩城之间建立了世界上第一条电报线路。 1876 年,美国的贝尔发明了电话,实现了人类最早的模拟通信。英国的麦克斯韦在总结前人工作基础上,提出了一套完整的“电磁理论”,表现为四个微分方程。这那就后人所称的“麦克斯韦方程组”.麦克斯韦得出结论:运动着的电荷能产生电磁辐射,形成逐渐向外传播的、看不见的电磁波。他虽然并未提出“无线电”这个名词,但他的电磁理论却已经告诉人们,“电”是能够“无线”传播的。 对模拟电路的掌握分为三个层次:

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

射频电路设计技巧

实用资料——射频电路板设计技巧成功的RF设计必须仔细注意整个设计过程中每个步骤及每个细节,这意味着必须在设计开始阶段就要进行彻底的、仔细的规划,并对每个设计步骤的进展进行全面持续的评估。而这种细致的设计技巧正是国内大多数电子企业文化所欠缺的。 近几年来,由于蓝牙设备、无线局域网络(WLAN)设备,和移动电话的需求与成长,促使业者越来越关注RF电路设计的技巧。从过去到现在,RF电路板设计如同电磁干扰(EMI)问题一样,一直是工程师们最难掌控的部份,甚至是梦魇。若想要一次就设计成功,必须事先仔细规划和注重细节才能奏效。 射频(RF)电路板设计由于在理论上还有很多不确定性,因此常被形容为一种「黑色艺术」(black art) 。但这只是一种以偏盖全的观点,RF电路板设计还是有许多可以遵循的法则。不过,在实际设计时,真正实用的技巧是当这些法则因各种限制而无法实施时,如何对它们进行折衷处理。重要的RF设计课题包括:阻抗和阻抗匹配、绝缘层材料和层叠板、波长和谐波...等,本文将集中探讨与RF电路板分区设计有关的各种问题。 微过孔的种类 电路板上不同性质的电路必须分隔,但是又要在不产生电磁干扰的最佳情况下连接,这就需要用到微过孔(microvia)。通常微过孔直径为0.05mm至0.20mm,这些过孔一般分为三类,即盲孔(blind via)、埋孔(bury via)和通孔(through via)。盲孔位于印刷线路板的顶层和底层表面,具有一定深度,用于表层线路和下面的内层线路的连接,孔的深度通常不超过一定的比率(孔径)。埋孔是指位于印刷线路板内层的连接孔,它不会延伸到线路板的表面。上述两类孔都位于线路板的内层,层压前利用通孔成型制程完成,在过孔形成过程中可能还会重叠做好几个内层。第三种称为通孔,这种孔穿过整个线路板,可用于实现内部互连或作为组件的黏着定位孔。 采用分区技巧 在设计RF电路板时,应尽可能把高功率RF放大器(HPA)和低噪音放

ADS射频电路设计基础与典型应用

实验报告 课程名称:ADS射频电路设计基础与典型应用实验项目名称:交直流仿真分析 学院:工学院 专业班级:11级信息 姓名: 学号:1195111016 指导教师:唐加能 2014年12月23 日

预 习 报 告 一、 实验目的 通过本节实验课程进一步熟悉使用ADS 软件,并学会使用ADS 软件进行交直流分析。 二、 实验仪器 电脑,ADS 仿真软件 三、 实验原理 (一)ADS 软件的直流,交流仿真功能 1.直流仿真 电路的直流仿真是所有射频有源电路分析的基础,在执行有源电路交流分析、S 参数仿真或谐波平衡仿真等其他仿真前,首先需要进行直流仿真,直流仿真主要用来分析电路的直流工作点。直流仿真元件面板主要包括直流仿真控制器、直流仿真设置控制器、参数扫描计划控制器、参数扫描控制器、节点设置和节点名控件、显示模板控件和仿真测量等式控件,这些面板上的原件经过设置以后既可以提供有源电路单点的直流分析,又可以提供有源电路参数扫描分析。 2.交流仿真 交流仿真能获得电路小信号时的多种参数,如电压增益、电流增益、跨导和噪声等。交流仿真执行时,首先对电路进行直流分析,并找到非线性原件的直流工作点,然后将非线性器件在静态工作点附近进行线性化处理,分析小信号在静态工作点附近的输入输出关系。 (二)交直流仿真面版与控制原件 1.直流仿真 图1中元件面板列出了直流仿真的所有仿真控件。 直流仿真控制器(DC ):直流仿真控制器(DC ) 是控制直流仿真的最重要控件,使用直流仿真控制器可以设置仿 真的扫描参数和参数的扫描范围等相关参数。 直流仿真设置控制器(OPTIONS ):直流仿真设置控制器主要用

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

RF电路及设计的基础知识

微波电路及设计的基础知识 1. 微波电路的基本常识 2. 微波网络及网络参数 3. Smith圆图 4. 简单的匹配电路设计 5. 微波电路的计算机辅助设计技术及常用的CAD软件 6. 常用的微波部件及其主要技术指标 7. 微波信道分系统的设计、计算和指标分配 8. 测试及测试仪器

微波电路及其设计 1.概述 所谓微波电路,通常是指工作频段的波长在10m~1cm(即30MHz~30GHz)之间的电路。此外,还有毫米波(30~300GHz)及亚毫米波(150GHz~3000GHz)等。 实际上,对于工作频率较高的电路,人们也经常称为“高频电路”或“射频(RF)电路”等等。 由于微波电路的工作频率较高,因此在材料、结构、电路的形式、元器件以及设计方法等方面,与一般的低频电路和数字电路相比,有很多不同之处和许多独特的地方。 作为一个独立的专业领域,微波电路技术无论是在理论上,还是在材料、工艺、元器件、以及设计技术等方面,都已经发展得非常成熟,并且应用领域越来越广泛。 另外,随着大规模集成电路技术的飞速发展,目前芯片的工作速度已经超过了1GHz。在这些高速电路的芯片、封装以及应用电路的设计中,一些微波电路的设计技术也已得到了充分的应用。以往传统的低频电路和数字电路,与微波电路之间的界限将越来越模糊,相互间的借鉴和综合的技术应用也会越来越多。 2.微波电路的基本常识 2.1 电路分类 2.1.1 按照传输线分类 微波电路可以按照传输线的性质分类,如:

图1 微带线 图2 带状线 图3 同轴线

图4 波导 图5 共面波导 2.1.2 按照工艺分类 微波混合集成电路:采用分离元件及分布参数电路混合集成。 微波集成电路(MIC):采用管芯及陶瓷基片。 微波单片集成电路(MMIC):采用半导体工艺的微波集成电路。 图6微波混合集成电路示例

硬件电路设计过程经验

献给那些刚开始或即将开始设计硬件电路的人。时光飞逝,离俺最初画第一块电路已有3年。刚刚开始接触电路板的时候,与你一样,俺充满了疑惑同时又带着些兴奋。在网上许多关于硬件电路的经验、知识让人目不暇接。像信号完整性,EMI,PS设计准会把你搞晕。别急,一切要慢慢来。 1)总体思路。 设计硬件电路,大的框架和架构要搞清楚,但要做到这一点还真不容易。有些大框架也许自己的老板、老师已经想好,自己只是把思路具体实现;但也有些要自己设计框架的,那就要搞清楚要实现什么功能,然后找找有否能实现同样或相似功能的参考电路板(要懂得尽量利用他人的成果,越是有经验的工程师越会懂得借鉴他人的成果)。 2)理解电路。 如果你找到了的参考设计,那么恭喜你,你可以节约很多时间了(包括前期设计和后期调试)。马上就copy?NO,还是先看懂理解了再说,一方面能提高我们的电路理解能力,而且能避免设计中的错误。 3)没有找到参考设计? 没关系。先确定大IC芯片,找datasheet,看其关键参数是否符合自己的要求,哪些才是自己需要的关键参数,以及能否看懂这些关键参数,都是硬件工程师的能力的体现,这也需要长期地慢慢地积累。这期间,要善于提问,因为自己不懂的东西,别人往往一句话就能点醒你,尤其是硬件设计。 4)硬件电路设计主要是三个部分,原理图,pcb,物料清单(BOM)表。 原理图设计就是将前面的思路转化为电路原理图。它很像我们教科书上的电路图。pcb涉及到实际的电路板,它根据原理图转化而来的网表(网表是沟通原理图和pcb之间的桥梁),而将具体的元器件的封装放置(布局)在电路板上,然后根据飞线(也叫预拉线)连接其电信号(布线)。完成了pcb布局布线后,要用到哪些元器件应该有所归纳,所以我们将用到BOM表。 5)用什么工具? Protel,也就是altimuml容易上手,在国内也比较流行,应付一般的工作已经足够,适合初入门的设计者使用。 6)to be continued......

集成电路设计基础复习要点

集成电路设计基础复习要点 第一章集成电路设计概述 1、哪一年在哪儿发明了晶体管?发明人哪一年获得了诺贝尔奖? 2、世界上第一片集成电路是哪一年在哪儿制造出来的?发明人哪一 年为此获得诺贝尔奖? 3、什么是晶圆?晶圆的材料是什么? 4、晶圆的度量单位是什么?当前主流晶圆尺寸是多少?目前最大晶 圆尺寸是多少? 5、摩尔是哪个公司的创始人?什么是摩尔定律? 6、什么是SoC?英文全拼是什么? 7、说出Foundry、Fabless和Chipless的中文含义。 8、什么是集成电路的一体化(IDM)实现模式? 9、什么是集成电路的无生产线(Fabless)设计模式? 10、目前集成电路技术发展的一个重要特征是什么? 11、一个工艺设计文件(PDK)包含哪些内容? 12、什么叫“流片”? 13、什么叫多项目晶圆(MPW) ?MPW英文全拼是什么? 14、集成电路设计需要哪些知识范围? 15、著名的集成电路分析程序是什么?有哪些著名公司开发了集成电 路设计工具?

16、SSI、MSI、LSI、VLSI、ULDI的中文含义是什么?英文全拼是 什么?每个对应产品芯片上大约有多少晶体管数目? 17、国内近几年成立的集成电路代工厂家或转向为代工的厂家主要有 哪些? 18、境外主要代工厂家和主导工艺有哪些? 第二章集成电路材料、结构与理论 1、电子系统特别是微电子系统应用的材料有哪些? 2、常用的半导体材料有哪些? 3、半导体材料得到广泛应用的原因是什么? 4、为什么市场上90%的IC产品都是基于Si工艺的? 5、砷化镓(GaAs) 和其它III/V族化合物器件的主要特点是什么? 6、GaAs晶体管最高工作频率f T可达多少?最快的Si晶体管能达到多 少? 7、GaAs集成电路主要有几种有源器件? 8、为什么说InP适合做发光器件和OEIC? 9、IC系统中常用的几种绝缘材料是什么? 10、什么是欧姆接触和肖特基接触? 11、多晶硅有什么特点? 12、什么是材料系统?

射频电路设计的常见问题及五大经验总结

射频电路板设计由于在理论上还有很多不确定性,因此常被形容为一种“黑色艺术”,但这个观点只有部分正确,RF电路板设计也有许多可以遵循的准则和不应该被忽视的法则。 不过,在实际设计时,真正实用的技巧是当这些准则和法则因各种设计约束而无法准确地实施时如何对它们进行折衷处理。当然,有许多重要的RF设计课题值得讨论,包括阻抗和阻抗匹配、绝缘层材料和层叠板以及波长和驻波等,在全面掌握各类设计原则前提下的仔细规划是一次性成功设计的保证。 RF电路设计的常见问题 1、数字电路模块和模拟电路模块之间的干扰 如果模拟电路(射频)和数字电路单独工作,可能各自工作良好。但是,一旦将二者放在同一块电路板上,使用同一个电源一起工作,整个系统很可能就不稳定。这主要是因为数字信号频繁地在地和正电源(>3 V)之间摆动,而且周期特别短,常常是纳秒级的。由于较大的振幅和较短的切换时间。使得这些数字信号包含大量且独立于切换频率的高频成分。在模拟部分,从无线调谐回路传到无线设备接收部分的信号一般小于lμV。因此数字信号与射频信号之间的差别会达到120 dB。显然.如果不能使数字信号与射频信号很好地分离。微弱的射频信号可能遭到破坏,这样一来,无线设备工作性能就会恶化,甚至完全不能工作。 2、供电电源的噪声干扰 射频电路对于电源噪声相当敏感,尤其是对毛刺电压和其他高频谐波。微控制器会在每个内部时钟周期内短时间突然吸人大部分电流,这是由于现代微控制器都采用CMOS工艺制造。因此。假设一个微控制器以lMHz的内部时钟频率运行,它将以此频率从电源提取电流。如果不采取合适的电源去耦.必将引起电源线上的电压毛刺。如果这些电压毛刺到达电路RF部分的电源引脚,严重时可能导致工作失效。 3、不合理的地线 如果RF电路的地线处理不当,可能产生一些奇怪的现象。对于数字电路设计,即使没有地线层,大多数数字电路功能也表现良好。而在RF频段,即使一根很短的地线也会如电感器一样作用。粗略地计算,每毫米长度的电感量约为l nH,433 MHz时10 toni PCB线路的感抗约27Ω。如果不采用地线层,大多数地线将会较长,电路将无法具有设计的特性。 4、天线对其他模拟电路部分的辐射干扰 在PCB电路设计中,板上通常还有其他模拟电路。例如,许多电路上都有模,数转换(ADC)或数/模转换器(DAC)。射频发送器的天线发出的高频信号可能会到达ADC的模拟淙攵恕R蛭魏蔚缏废呗范伎赡苋缣煜咭谎⒊龌蚪邮誖F信号。如果ADC输入端的处理不合理,RF信号可能在ADC输入的ESD二极管内自激。从而引起ADC偏差。 一、射频电路布局原则 在设计RF布局时,必须优先满足以下几个总原则: (1)尽可能地把高功率RF放大器(HPA)和低噪音放大器(LNA)隔离开来,简单地说,就是让高功率RF发射电路远离低功率RF接收电路; (2)确保PCB板上高功率区至少有一整块地,最好上面没有过孔,当然,铜箔面积越大越好; (3)电路和电源去耦同样也极为重要;

相关主题
文本预览
相关文档 最新文档