当前位置:文档之家› 数字电路测验二及答案

数字电路测验二及答案

数字电路测验二及答案
数字电路测验二及答案

数字电路与逻辑设计测验二

姓名:____________ 学号:____________ 成绩:____________

一、填空: 1、(10110)2=( )10=( )16

(28)10=( )2=( )16 (56)10=( )8421BCD

2、最基本的门电路是: 、 、 。

3、(11011)2 =(________)10

4、8421BCD 码的1000相当于十进制的数值 。

5、有一数码10010011,作为自然二进制数时,它相当于十进制数 ,作为8421BCD 码时,它相当于十进制数 。

6、TTL 电路的电源电压为 V , CMOS 电路的电源电压为 V 。

7、逻辑表达式中,异或的符号是 ,同或的符号是 。 8

二、选择题

1、 十进制数85转换为二进制数为( )

A .1001011

B .1010011

C .1100101

D .1010101 2、二进制数11011转换为十进制数为( )

A .32

B .27

C .64

D .128 3、下列各组数中,是6进制的是( )。

A .14752

B .62936

C .53452

D .37481 4、 8421BCD 码110011.001表示十进制为( )

A .33.2

B .51.0125

C .63.2

D .51.2 5、在下列一组数中,与2)111001(相等的数是( ) A .16)34( B .(65)8 C . 10)57(

6、“异或”逻辑与以下哪种逻辑是非的关系( )

A .“与”逻辑

B .“或”逻辑

C . “同或”逻辑 7、下列四个数中,最大的数是( ) A 、(AF )16 B 、(001010000010)8421BCD

C 、(10100000)2

D 、(198)10

8、下列关于异或运算的式子中,不正确的是( ) A 、A ⊕A=0 B 、1=⊕A A

C 、A ⊕0=A

D 、A ⊕1=A

9、十进制数25用8421BCD 码表示为( ) A.10101 B.0010 0101 C.100101 D.11001 10、函数F=ABC+AB C +A B 的最简与或式是( ) A.F=A+B B.F=A +C C.F=B+C D.F=B 11、 符合下面真值表的门电路是( )。

A 、与门

B 、或门

C 、同或门

D 、异或门 12、下列代码属于8421BCD 码的是( )。

A .1010

B .1100

C .0111

D .1101

13、数字信号是指( )。

A .时间上离散变化的信号;

B .时间、量值上都连续变化的信号;

C .量值上连续变化的信号;

D .时间、量值上都离散变化的信号; 14、二进制数的展开公式是( )。

A .D=∑k i 2 i

B .D=∑k i 10 i

C .D=∑k i 8 i

D .D =∑k i 16 i 15、关于“数字电路的优点”,下列最合适的说法是( )。

A .便于集成化;

B .抗干扰能力强;

C .便于存储、传输和加密;

D .前3项和。 16、下列十进制代码中,错误的说法是( )。

A .十进制代码可由4位二进制码元组成;

B .AS

C Ⅱ码共有127位码; C .余3码可由BCD-8421码转换而得;

D .格雷码能组成十进制码。 17、下列实验内容中,错误的说法是( )。

A .所有的数电电路,都可在仿真软件环境中建立和验证 。

B .仿真软件中的示波器、信号发生器等仪器的功能,与真实的功能一致。

C .TTL 集成电路的电源通常为5V ;

D .HC 系列CMOS 集成电路的电源通常为4.5V ~ 5.5V 。 18、逻辑代数的基本电路定理中,下列说法错误的是( )。

A .对逻辑式Y 而言,将其中的与与或互换,0与1互换;原变量与反变量互换,则得到的结果就是Y 的对偶式,这就是对偶定理。

B .用一个逻辑式代替等式中的自变量,等式仍成立;这就是代入定理。

C .摩根定理可将逻辑与的关系与逻辑或的关系进行互换;

D .在反演定理中,也要遵守“先括号、然后与、最后或”的运算优先次序。 19、在决定一事件结果的所有条件中要求所有的条件同时满足时结果就发生,这种条件和结果的逻辑关系是( )

A.与

B.或

C.非

D.异或

20、在下图的逻辑符号中,能实现F=AB 逻辑功能的是( )

21、同或的逻辑表达式为:

A:L AB AB =+ B: L AB AB =+ C:L AB AB =+ D: L A B =+

22、符合有“1’’得“1”,全“0’’得‘‘0”的逻辑关系的逻辑门是( )。

A .或门

B .与门

C .非门

D .与非门

23、符合有“0”得“0",全“1”得“1"的逻辑关系的逻辑门是( )。

A .或门

B .与门

C .非门

D .或非门

24、符合有“1”得“0”,全“0”得“1"的逻辑关系的逻辑门是( )。

A .或门

B .与门

C .非门

D .或非门

25、下列不属于基本逻辑门电路的是( )。

A .与门

B .或门

C .非门

D .或非门

26、下列不属于组合逻辑门路的是( )。

A .与门

B .或非门

C .与非门

D .与或非门

27、TTL 与非门电路低电平的产品典型值通常不高于( )V 。

A .1

B .0.4

C .0.8

D .1.5

28、TTL 与非门电路高电平的产品典型值通常不低于( )V 。

A . 3

B .4

C .2

D .2.4

三、判断题

1.8421BCD 码是二——十进制码。( )

2.与逻辑是至少一个条件具备事件就发生的逻辑 。( )

3.L 等于A 和B 的异或,其表达式是L=A+B 。( )

4.“同或”逻辑功能是两个输入变量A 、B 相同时,输出为1;A 、B 不同时,输出为0。( ) 6.对于TTL 门电路来说,如果输入端悬空即代表输入低电平。( )

四、逻辑函数化简

1、根据左边的步骤,在每行右边写出此步骤运用的公式。

()

()

()Y ABC AC BC ABC A B C ABC AB C AB AB C C

=++=++=+=+=

()(1)Y AD B C D ADB ADC AD AD B C AD

=++=++=++=

()

Y AB AC BC AB A B C AB ABC AB C

=++=++=+=+

2、化简逻辑函数,写出最简与或式。 (1)Y=ABC ABC BC BC A ++++

(2)Y ABC A B C =+++

(3)))(C (C B A B A F ++++=

五、综合运用题

1

2、分析下图,试写出F 的表达式,并说明逻辑电路的功能。

3、实验与操作

1.实验名称:74LS00逻辑功能测试

2.实验环境:实验台及专用导线、MF-47型万用表、74LS00集成门电路1片、开关、电阻R1=1kΩ R2=270Ω、LED。

3.要求如下:A 写出实验名称、实验目的、实验器材

B 画出电路图

C 写出实验操作步骤和预期实验结果(表格),并对实验结果进行分析,

得出实验结论,总结实验感想。

D 本题无文字说明者不得分,要求说明分条叙述,简洁流畅,逻辑性强。

答案: 一、填空:

1、10(22)、16(16);2(11100)、16(1)C ;8421(01010110)BCD 。

2、与、或、非。

3、27

4、8

5、 147 , 93

6、 5 3—18

7、 Θ

8、完成下表中数制的转换,和集成电路知识填空。 二、选择题

1D 2B 3C 4A 5 C 6C 7B 8B 9C 10D 11C 12C 13D 14A 15D 16B 17D 18A 19A 20C 21A 22A 23B 24D 25 D 26A 27B 28D 三、判断题

1. √

2. ×

3.×

4.√

5. × 四、逻辑函数化简

1、答案见书P38-39 例1-33 1-35 1-40和作业本 2. 化简:没过程没分! (1)

A

C )1BC A(C BC A C BC A )B C(B C B BC A BC C B BC A 1)BC(A +=++=++=+++=+++=++++=A A A A Y

(2)

1

1B A B A B C B A =++=+++=+++=C C C Y

(3)

B

A B A B A B A BC B AC AB A +=+++=++++++=C C C C F

五、综合运用题

1、已知逻辑函数的真值表如表,试写出对应的逻辑函数式。C B A C B A C B A Y ++=

2、F 1 = B A F 2 = B A F 3 = AB B A + 真值表

输 入 输 出

A B F 1 F 2 F 3 0 0 0 0 1 0 1 1 0 0 1 0 0 1 0 1 1 0 0 1 此电路为一位数值比较器。 3、答案见作业本

数字电路第二章答案

第二章 组合逻辑电路 习题参考答案 2-1 写出图2-29所示各逻辑电路输出的逻辑表达式,列出真值表。 解:(a) BC AB Z +=1 (b) D C B A D C B A Z =+?+=2 真值表: (3) E D C B A E D C B A Z +++++++=)(3 E D C B A E D C B A +++?+++= ))((E D C B A E D C B A ++++++++=

+ + B C D ? + ] = + + E A+ ] ) A ( ) ( [ [E B C D A+ B A + + C = + + A (E )( D D ) B E B C BE C A+ A + D = + + B E D E E B C A E 真值表: 2-2分析图2-30所示的各逻辑电路,写出输出的逻辑表达式,列出真值表。

解:(a) )()(AC C B A C B A Z ?+?⊕+⊕= C B A C A B A C B A ⊕++=)( C B A C A B A C B A C B A C A B A C B A +++++=)( C B A A C B A C B A C A B A C B A +=+=+++= 真值表: (b) C B A ABC C B A C B A C B C B A C B A X +++=+⊕=⊕⊕=)()( C A BC B A Y ++= 2-3分析图2-31所示的逻辑电路,画出电路输出的波形图。 解:由逻辑图可以得到其输出表达式 C A D D BC B AD C AD D BC B AD Z +++==)( C AD D C B B D A +++++=)()( C AD D C D B D B B A +++++= C AD D B D B B A ++++=

数字电子基础第二章答案

习题2 2-1 试用列真值表的方法证明下列等式成立。 (1) A+BC=(A+B)(A+C) (2) A AB A B +=+ (3) 0A A ⊕= (4) 1A A ⊕= (5) ()A B C AB AC ⊕+=⊕ (6) 1A B A B A B ⊕==⊕? 解:(1)设1F A BC =+ 2()()F A B A C =++ (2) 1F A AB =+ 2F A B =+ (3) 10F A =⊕ 2F A =

(4) 11F A =⊕ 2F A = (5) 1()F A B C =⊕+ 2F A B A C =⊕ (6) 1F A B =⊕ 2F A B = 31F A B =⊕? 2-2 分别用反演规则和对偶规则求出下列函数的反函数式和对偶式 。 (1) [()]F AB C D E B =++ (2) ()()F AB A C C DE =+++

(3) F A B C D E =++++ (4) ()0F A B C ABC =++= (5) F A B =⊕ 解:(1)[()]F A B C D E B =+?++ '[()]F A B C D E B =+?+?+ (2) ()[()]F A B AC C D E =+?++ '()[()]F A B A C C D E =+?++ (3) ()F A B C D E =?+++ 'F A B C D E =???? (4) ()1F A B C A B C =??+++= '()1F A B C A B C =??+++= (5) F A B = 'F AB AB =+ 2-3 用公式法证明下列各等式。 (1) ()AB A C B C D AB A C D +++=++ (2) ()()BC D D B C AD B B D ++++=+ (3) AC AB BC ACD A BC +++=+ (4) AB BC C A AB BC CA ++=++ (5) A B C A B C ⊕⊕= (6) A B A B ⊕=⊕ (7) ()()A CD ACD A C A D +=⊕⊕ 解:(1) ()C B C D AB AC BC BCD AB AC BC D AB AC D ++=+++=+++=++=左边=AB+A 右边

《数字电路实验讲义》word版

数字电路实验讲义 课题:实验一门电路逻辑功能及测试课型:验证性实验 教学目标:熟悉门电路逻辑功能,熟悉数字电路实验箱及示波器使用方法 重点:熟悉门电路逻辑功能。 难点:用与非门组成其它门电路 教学手段、方法:演示及讲授 实验仪器: 1、示波器; 2、实验用元器件 74LS00 二输入端四与非门 2 片 74LS20 四输入端双与非门 1 片 74LS86 二输入端四异或门 1 片 74LS04 六反相器 1 片 实验内容: 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20 一只,插入面包板(注意集成电路应摆正放平),按图1.1接线,输入端接S1~S4(实验箱左下角的逻辑电平开关的输出插口),输出端接实验箱上方的LED 电平指示二极管输入插口D1~D8 中的任意一个。 (2)将逻辑电平开关按表1.1 状态转换,测出输出逻辑状态值及电压值填表。

2、逻辑电路的逻辑关系 (1)用74LS00 双输入四与非门电路,按图1.2、图1.3 接线,将输入输出逻辑关系分别填入表1.2,表1.3 中。 (2)写出两个电路的逻辑表达式。 3、利用与非门控制输出 用一片74LS00 按图1.4 接线。S 分别接高、低电平开关,用示波器观察S 对输出脉冲的控制作用。 4、用与非门组成其它门电路并测试验证。 (1)组成或非门:

用一片二输入端四与非门组成或非门B = =,画出电路图,测试并填 + Y? A B A 表1.4。 (2)组成异或门: ①将异或门表达式转化为与非门表达式; ②画出逻辑电路图; ③测试并填表1.5。 5、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图1.5 接线,输入端1、2、4、5 接电平开关输出插口,输出端A、B、Y 接电平显示发光二极管。 (2)将电平开关按表1.6 的状态转换,将结果填入表中。

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电路实验问答题

实验一 (2)如何用万用表测量数字集成电路的好坏? 数字集成电路损坏分为两种情况,一种是彻底不能工作;另一种是工作不稳定,可靠性非常低。 用万用表主要测量其阻抗值,可以拿一只好的相同的IC比较,测试管脚到地的阻抗值;另外就是放到具体的电路中加上适当的电压测试各个管脚的电压或电平值;数字IC的范围非常广,拿一只单片机来讲,要判断其工作问题,还要用到示波器观察数据收发期间对应管脚上高低电平的变化,对于其他数字IC,可以测试并对应真值表来比较。由于IC应用不同,并没有一个归一化的方法,只有通过不断实践来完成整个电路的调试了。 (3)如何用示波器确定输入信号是直流还是交流? 答案一:示波器有交流输入和直流输入的转化按钮,如果选中直流按钮,测得的就是直流和交流的叠加信号(如果有交流信号);选中交流按钮,只能测得交流信号(不管信号是否有直流成分)。 如果用直流档和交流档测得的信号完全相同,则说明信号只有交流成分;若果直流档有信号,交流档测不到信号则说明只有直流成分没有交流成分;交直流都测得信号灯信号形状不同,则说明信号同时存在交直流成分。 答案二:先把示波器的“AC-GND-DC”置于GND位置,把参考点选在中间位置,再把“AC-GND-DC”置于DC位置,再进行测试,如果波形是在参考点中心线的上方或下方,那就是直流;如果在参考点中心线的上方和下方都有波形显示,那就是交流。特别提示:直流不一定就是直线, (4)如何用示波器测量电流信号? 使被测电流通过一个电阻(叫取样电阻),适当选取电阻值,使被测电流信号在该电阻上的压降达数十至数百毫伏,并使毫伏数,与电流值有便于运算的比例关系,之后,用示波器测量该电阻上的压降即可。 实验三 (2)与非门中多余端如何处理?

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

[整理]《数字电子技术基础》习题没答案.

《数字电子技术基础》习题 第一章第一章数字电子技术概述 1.数字信号和模拟信号各有什么特点?描写脉冲波形有哪些主要参数 2.和模拟电路相比,数字电路有哪些优点? 3.在数字系统中为什么要采用二进制?它有何优点? 4.数字电路和模拟电路的工作各有何特点? ⒌把下列二进制数转换成十进制数: 10010110 11010100 0101001 110110.111 101101.101 ⒍将下列数转换为十进制数:1101B 4FBH 110.11B ⒎将下列数转换为二进制数:7.85D 3DF.2BH 256D ⒐将下列数转换为十六进制数:256D 1101.11B 110.11B ⒑将下列十进制数转换为对应的八进刺数: 21 130 27 250 48 1012 95 100.625 ⒒分别用842lBCD码、余3码表示下列各数: (9.04)10 (263.27)10 (1101101)2 (3FF)16 (45.7)8 ⒓列出用BCD码代替二进制的优点 ⒔列出用BcD码代替二进制的主要缺点j ⒕在数字系统的运算电路中使用BCD的主要缺点是什么 ⒖格雷码的另一个名字是什么 ⒗二极管电路及输入电压ui的波形如图1-1所示,试对应画出各输出电压的波形。 图1-1 ⒘半导体三极管的开、关条件是什么?饱和导通和截止时各有什么特点?和半导体二极管比较,它的主要优点是什么? ⒙⒙判断图1-2所示各电路中三极管的工作状态,并计算输出电压u o的值。

图1-2 ⒚N沟造增强型MOS管的开、关条件是什么?导通和截止时各有什么特点?和P沟道增强型MOS管比较,两者的主要区别是什么? 第二章第二章集成逻辑门电路 ⒈请举出生活中有关“与”、“或”、“非”的逻辑概念.并各举两个例子说明。 ⒉如图2-1所示,是二极管门电路,请分析各电路的逻辑功能.并写出其表达式。

数字电子技术基础第三版第二章答案

第二章逻辑门电路 第一节重点与难点 一、重点: 1.TTL与非门外特性 (1)电压传输特性及输入噪声容限:由电压传输特性曲线可以得出与非门的输出信号随输入信号的变化情况,同时还可以得出反映与非门抗干扰能力的参数U on、U off、U NH和U NL。开门电平U ON是保证输出电平为最高低电平时输入高电平的最小值。关门电平U OFF是保证输出电平为最小高电平时,所允许的输入低电平的最大值。 (2)输入特性:描述与非门对信号源的负载效应。根据输入端电平的高低,与非门呈现出不同的负载效应,当输入端为低电平U IL时,与非门对信号源是灌电流负载,输入低电平电流I IL通常为1~。当输入端为高电平U IH时,与非门对信号源呈现拉电流负载,输入高电平电流I IH通常小于50μA。 (3)输入负载特性:实际应用中,往往遇到在与非门输入端与地或信号源之间接入电阻的情况,电阻的取值不同,将影响相应输入端的电平取值。当R≤关门电阻R OFF时,相应的输入端相当于输入低电平;当R≥?开门电阻R ON时,相应的输入端相当于输入高电平。 2.其它类型的TTL门电路 (1)集电极开路与非门(OC门) 多个TTL与非门输出端不能直接并联使用,实现线与功能。而集电极开路与非门(OC 门)输出端可以直接相连,实现线与的功能,它与普通的TTL与非门的差别在于用外接电阻代替复合管。 (2)三态门TSL 三态门即保持推拉式输出级的优点,又能实现线与功能。它的输出除了具有一般与非门的两种状态外,还具有高输出阻抗的第三个状态,称为高阻态,又称禁止态。处于何种状态由使能端控制。 3.CMOS逻辑门电路 CMOS反相器和CMOS传输门是CMOS逻辑门电路的最基本单元电路,由此可以构成各种CMOS逻辑电路。当CMOS反相器处于稳态时,无论输出高电平还是低电平,两管中总有一管导通,一管截止,电源仅向反相器提供nA级电流,功耗非常小。CMOS器件门限电平U TH近似等于1/2U DD,可获得最大限度的输入端噪声容限U NH和U NL=1/2U DD。 二、难点: 1.根据TTL与非门特性,正确分析和设计电路; 2.ECL门电路的逻辑功能分析; 3.CMOS电路的分析与设计; 4.正确使用逻辑门。 三、考核题型与考核重点 1.概念 题型为填空、判断和选择。

数字电路实验考试参考题目

数字电路实验考试参考题目 1.请采用两种方法(分别用与非门器件和数据选择器)设计一个三人表决器。 2.请采用两种方法(分别用与非门器件和数据选择器)设计一个四人表决器。 3.采用数据选择器(74LS151)设计完成下列逻辑函数: F1=A BC+A B D+B C D+AC D; F2=ABC+BCD+ACD+ABD 4.利用JK触发器设计一个异步四进制计数器(可采用74LS73),并用示波器观测电路输 入、输出波形。 5.设计一个模21的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 6.设计一个模22的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 7.设计一个模23的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 8.设计一个模24的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 9.设计一个模25的计数器(可采用74LS390或74LS192等),用发光二极管观察并记录 电路的所有有效计数状态。 10.设计一个模20的计数器(可采用74LS390或74LS192等),用发光二极管观察电路的 所有有效计数状态;并用示波器观测计数器的输入输出端波形。 11.采用移位寄存器设计一个具有自启动功能的四位环形计数器,记录电路所有状态(包括 由偏离态进入有效循环的过程),并画出状态转移图。 12.设计一个具有自启动功能的、有效状态分别为1000,0100,0010,0001的四位右移环 形计数器。 13.设计一个具有自启动功能的、有效状态分别为0001,0010,0100,1000的四位左移环 形计数器。 14.设计一个具有自启动功能的、有效状态分别为1110,1101,1011,0111的四位左移环 形计数器。 15.设计一个具有自启动功能的、有效状态分别为1110,0111,1011,1101的四位右移环 形计数器。 16.设计一个具有自启动功能的、有效状态分别为1100,1001,0011,0110的四位左移环 形计数器。 17.设计一个具有自启动功能的、有效状态分别为1100,0110,0011,1001的四位右移环 形计数器。 18.采用2MHZ的晶体振荡器、与非门、电阻等器件设计一个晶体稳频多谐振荡电路,经 分频后,电路输出脉冲信号频率为1MHZ。 19.采用555定时器设计电路,要求输出一个频率为1KHZ的脉冲信号,并用示波器观测电 路输出波形。 20.采用大规模集成存储器、编程器、计数器等元件和设备,设计完成一个八路彩灯控制电 路。 (可能还有小范围调整,请大家继续关注网站通知)

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

东南大学数字电路实验考试——教务考试监考装置

数字电路期末考题二 一、教务处需要一考试监考装置:设定每场考试为2小时,假设一个时钟周期是10分钟,用两个数码管分别显示分钟的十位和小时的个位。当到半小时的时候,红灯亮持续10分钟后灭,提醒监考老师没来的考生不得入场,在场的考生可以交卷离开。当到1小时50分时,黄灯亮持续10分钟后灭,提醒监考老师考试时间将到,准备收卷。 要求: 1.简单写出设计过程,画出逻辑电路图(30分) 2.根据设计搭试电路(15分) 3.用单脉冲验证电路(由老师检查)(25分) 4.用双踪示波器或者逻辑分析仪观察并分别绘出输入时钟和分钟十位输出时的Q m2、Q m1、Q m0输出波形。(10分) 二、简答 几个三态门的输出端是否允许短接?有无条件限制,应注意什么问题? OC门的输出端是否允许短接,结果是什么?(20分) 页脚内容1

数字电路期末考题四(答案及评分标准) 1.简单写出设计过程,画出逻辑电路图(30分) 由题意,设时钟脉冲的周期为10分钟,则分钟部分可设计成模6计数器,整个监考装置是模12计数器,其功能见下表 页脚内容2

80001000100 90001001000 100001001100 110001010000 120001010101 130000000000逻辑电路图: 页脚内容3

评分:a、设计过程15分 b、逻辑电路图15分 2.电路接线符合基本规范,电源连接正确(15分); 3.用单脉冲验证电路(由老师检查)(25分) 4.波形记录符合规范(波形应注意相位对齐,并至少画满一个周期,方波的边沿一定要画出):波形描述正确且相位对齐8分(每个波形2分)方波边沿画出2分 CLK Qm2 Qm1 Qm0 二、简答题: 几个三态门的输出端允许短接,但有条件限制,不能同时有两个或两个以上三态门的控制端处于使能状态。(10分) OC门的输出端允许短接,但要在输出端接一个合适的上拉电阻和电源才能正常工作,结果是将各个OC门的输出相与。(10分) 页脚内容4

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数字电子技术课后习题及答案

第二章 2.2 证明下列异或运算公式 (1)A 0A =⊕ 证明: 左侧0A 0A ?+?= A = 得证 (2)A 1A =⊕ 证明: 左侧1A 1A ?+?= A = 得证 (3) 0A A =⊕ 证明: 左侧A A A A ?+?= 0= 得证 (4)A A A =⊕ 证明: 左侧A A A A ?+?= A = 得证 (5)B A B A ⊕=⊕ 证明: 右侧B A B A ?+?= B A B A ?+?= B A ⊕= 得证 (6) )C B (A C )B A (⊕⊕=⊕⊕ 证明: 等式右侧)C B (A ⊕⊕= )C B C B (A +⊕=

)C B C B (A )C B C B (A +++= C B A C B A )C B C B (A ++?= C B A C B A )C B )(C B (A ++++= C B A C B A )C C C B BC B B (A +++++= C B A C B A C B A A B C +++= C )B A AB (C )B A B A (+++= C )B A (C )B A (⊕+⊕= (将看成一个整体)B A (⊕,用M 来表示 C M C M += C M ⊕= 再替换M ,则) C )B A (⊕⊕= 得证 2.3 用逻辑代数法将下列逻辑函数式化简为最简与或表达式 (1)L=AB(BC+A) 解:L=AB(BC+A) =ABC+AB =AB(C+1) =AB (2) L=B B A B A ++ 解:L=B B A B A ++ =B A B A )1(++ =B B A + =B B A ++ A =A+B (3) C B B C B C A A B C A L ++++= 解:C B B C B C A A B C A L ++++=

数字电路实验指导书选样本

实验一基本门电路 实验类型: 验证 实验类别: 专业主干课 实验学时: 3 所属课程: 数字电子技术 一、实验目的 ( 1) 熟悉常见门电路的逻辑功能; ( 2) 学会利用门电路构成简单的逻辑电路。 二、实验要求: 集成逻辑门电路是最简单、最基本的数字集成元件, 任何复杂的组合电路和时序电路都可用逻辑门经过适当的组合连接而成。本实验要求熟悉74LS00、 74LS02、 74LS86的逻辑功能, 需要查阅集成块的引角图, 并能够利用它们构成简单的组合逻辑电路, 写出设计方案。 三、实验仪器设备及材料 数字电路实验箱 1台; 74LS00、 74LS02、 74LS86各一块 四、实验方案 1、 TTL与非门逻辑功能测试 将四2输入与非门74LS00插入数字电路实验箱面板 的IC插座上, 任选其中一与非门。输入端分别输入不 同的逻辑电平( 由逻辑开关控制) , 输出端接至 LED”电平显示”输入端。观察LED亮灭, 并记录对应 的逻辑状态。按图1-1接线, 检查无误方可通电。 图1-1 表1-1 74LS00逻辑功能表

2、 TTL或非门、异或门逻辑功能测试 分别选取四2输入或非门74LS02、四2输入异或门74LS86中的任一门电路, 测试其逻辑功能, 功能表自拟。 3、若要实现Y=A′, 74LS00、 74LS02、 74LS86将如何连接, 分别画出其实验连线图, 并验证其逻辑功能。 4、用四2输入与非门74LS00实现与或门Y=AB+CD的功能。画出实验连线图, 并验证其逻辑功能。 五、考核形式 检查预习情况占30%, 操作占40%, 实验报告占30%。 六、实验报告 主要内容包括, 对实验步骤, 实验数据、实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、解释、分析总结, 回答思考题, 提出实验结论或提出自己的看法等。 七、思考题 如何处理各种门电路的多余输入端?

数字电路实验仿真考试

班级:姓名:学号: 1. 交通控制器的设计 设计任务: 1.采用D触发器设计一个模拟铁路道口的交通控制器,要求画出原始状态图、原始状态表,简化状态表,状态分配,激励表,写出驱动方程和输出方程。 下图是该铁路道口的平面图。P1和P2是两个传感器,它们的距离较远,至少是一列火车的长度,即火车不会同时压在两个传感器上。A和B是两个闸门,当火车由东向西或由西向东通过P1P2段,且当火车的任意部分位于P1P2之间时,闸门A和B应同时关闭,否则闸门同时打开。 2.然后在Multisim的原理图编辑区画出逻辑电路图,采用适当的方法对所设计的电路进行测试。

班级:姓名:学号: 2. 数字钟小时的设计 设计任务: 1. 采用74LS160设计一个数字钟用的时(24小时)24进制计数器。如下图所示显示。 2.在Multisim的原理图编辑区画出逻辑电路图,采用适当的方法对所设计的电路进行测试。 数字电路实验仿真考试(选做2) 班级:姓名:学号: 3. 数字钟分的设计 设计任务: 1. 采用74LS160设计一个60进制计数器。要求能用数码管显示。 2.在Multisim的原理图编辑区画出逻辑电路图,采用适当的方法对所设计的电路进行测试。

数字电路实验仿真考试(选做3) 姓名:学号 4. 水位指示电路 设计任务: 下图为工业用水容器示意图,当图中A、B、C被水浸泡时,分别有信号输出。设计一个逻辑控制电路实现以下作用:水面在A、B之间时,绿灯G亮;水面在B、C之间时,红灯R和绿灯G同时亮;水面在C以下或者A以上时,为危险状态,红灯R亮,并且报警。 A B C 1. 设计实现以上功能的逻辑电路,用74LS138实现,要求列出真值表,写出逻辑表达式。 2. 在Multisim的原理图编辑区画出这两个逻辑电路图,采用适当的方法分别对所设计的电路进行测试。 数字电路实验仿真考试(选做4) 班级:姓名:学号: 5. 水位指示电路 设计任务: 下图为工业用水容器示意图,当图中A、B、C被水浸泡时,分别有信号输出。设计一个逻辑控制电路实现以下作用:水面在A、B之间时,绿灯G亮;水面在B、C之间时,红灯R和绿灯G同时亮;水面在C以下或者A以上时,为危险状态,红灯R亮,并且报警。

数字电路实验(九个)

脉冲与数字电路实验

目录 实验一TTL数字集成电路使用、与非门参数测试实验二门电路 实验三组合逻辑电路 实验四译码器与编码器 实验五触发器 实验六计数器一 实验七计数器二 实验八多谐振荡电路 实验九综合实验 ·二十四进制计数电路 ·数字定时器 ·图形发生器 专题实习通用计时器安装于调试 附录1 常用数字集成电路外引线图 附录2 TTL集成电路分类、推荐工作条件

实验一TTL数字集成电路使用、与非门参数测试 一、实验目的 学习TTL数字集成电路使用方法,学会查阅引脚图。掌握参数测试方法 二、实验设备及器件 1.逻辑实验箱1台 2.万用表1只 3.四2输入与非门74LS00 1只 三、实验重点 54/74LS系列数字集成电路的认识及使用方法 四、数字集成电路概述 以晶体管的“导通”与“截止”表达的两种状态及高电平(H)低电平(L)并以“1” 或“0”表示二进制数。能对二进制数进行逻辑运算、转换、传输、存储的集成电路称为数字集成电路。按分类有TTL型、CMOS型。按功能分有逻辑门电路、组合集成电路、集成触发器、集成时序逻辑电路。 五、实验内容及步骤 1.74LS系列数字集成电路外引线图及使用方法(引线图以14脚集成电路为例) 1)外引线排列 双列直插式封装引脚识别。引脚对称排 列,正面朝上半圆凹槽向左,左下为第1脚, 按逆时针方向引脚序号依次递增。 2)电源供电 芯片以5V供电,电源正极连接标有Vcc 字符的引脚,负极连接标有GND字符的 引脚。电源额定值应准确。为了达到良好的 使用效果,电源范围应满足4.5V≤Vcc≤5.5V。TTL数字集成电路引脚识别 电源极性连接应正确。 3)重要使用规则 a.输出端不能直接连接电源正极或负极。 b.小规模(SSI)和中规模(MSI)芯片,在使用中发热严重应检查外围连线连接是否正确。 1A1B1Y2A2B2Y GND 4A 4B4Y 3A 3B3Y 1A 1B1Y 2A 2B2Y & A B Y & A B Y

数字逻辑课后答案 第二章

第二章 组合逻辑 1. 分析图中所示的逻辑电路,写出表达式并进行化简 2. 分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表,说明 F 与 A 、B 的关系。 F1= F2= F=F 1F 2= B F = AB + B = AB A F = A B BAB C CABC = AB + AC + BC + BC = AB + BC + BC 1 S B BS A ++3 2 S B A ABS +1 S B BS A ++

3. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能。 解: F1== 真值表如下: 当B ≠C 时, F1=A 当B=C=1时, F1=A 当B=C=0时, F1=0 裁判判决电路,A 为主裁判,在A 同意的前提下,只要有一位副裁判(B ,C )同意, 成绩就有效。 F2= 真值表如下: C B B C A C AB C B A +++ABC C B A ABC C B A C B A +⊕=++)(A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 0 1 1 1 000001 11AC BC AB C A C B B A ++=++

当A 、B 、C 三个变量中有两个及两个以上同时为“1”时,F2 = 1 。 4.图所示为数据总线上的一种判零电路,写出F 的逻辑表达式,说明该电路的逻辑功能。 解:F= 只有当变量A0~A15全为0时,F = 1;否则,F = 0。 因此,电路的功能是判断变量是否全部为逻辑“0”。 5. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能 解: 因此,这是一个四选一的选择器。 6. 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 0 1 1 1 000011 111514131211109876543210A A A A A A A A A A A A A A A A +++301201101001X A A X A A X A A X A A F +++=

数字电路与数字电子技术 课后答案第二章

第二章逻辑门电路 1.有一分立元件门电路如图P 2.1 ( a ) 所示,歌输入端控制信号如图p2.1 ( b ) 所示.。请 V(F)的波形。 对应图( b ) 画出输出电压0 ( a ) 图P2.1 =+ 解:F ABC D

图P2.2 ( a ) 解: 1F ab = 2F b c b c ==+ 3F (a b c)ad =+++ 4F b c d b c b c d =+++=++ 3.试分析图P2.3

( a ) ( b ) 图P2.3 解: 图P2.3 ( a ) F AB CD =+ 图P2.3 ( b ) F A B =⊕ 4. C=1时,F B =,电路应如何改动。 解: 当C=1时,三态门呈高阻状态,相当于断开,或非门对应输入端悬空相当于”1”所示 F B 10=+=,为使其完成F B =应在三态门输入端接一个off R R <的电阻即可,电路图如 图P2.A4所示 图P2.4 图P2.A4 5. 输入波形如图P2.5 ( b ) 所示,试画出P2.5 ( a ) 所示逻辑门的输出波形 ( a ) ( b ) 图P2.5 F 1,F 2为 图P2.A5 解: 1F A B =⊕ C = 1时,2F 为高阻状态,C = 0时,2F AB = 6. 改正图P2.6所示TTL 电路中的错误

1F A B (a) = 2F A B (b) =+ 3F AB (c)= 4F A B (d) =+ 图P2.6 解: (a) 三极管基极应加接基极电阻B R .否则与非门输出高电平3.6V 时,将三极管损坏。 (b) TTL 非门的输出端不能并联,应换为集电极开路门。 (c) 输入端所接电阻off R 200R =Ω<,相当于”0”,使3F =1,必须使off R R >,如取 R 5K =Ω (d) 输入端所接电阻on R 5K R =>相当于”1”,使4F 1=,必须使off R R <,如取 R 100=Ω,相当于”0”,这时4F A B =+ 7. 电路如图P2.7 ( a ) ~ ( f ) 所示,已知输入信号A ,B 波形如图P2.7 ( g ) 所示,试画出各个电路输入电压波形。 ( a ) ( b ) ( f ) ( d ) ( e ) ( f )

数字电路实验

数字电路实验 实验要求: 1.遵守实验室规则,注意人身和仪器设备的安全。 2.预习并按规范写好预习报告,否则不能参加实验。 3.进入实验室后保持安静,对号入座, 4.将预习报告置于实验桌右上角,待指导教师检查。 5.完成实验任务后,保持实验现场,报请老师验收。验收时需清楚简练地 向老师介绍实验情况、证明自己已完成了实验任务。 6.实验成绩由预习报告、实验效果与实验纪律、独立动手能力、实验报告 等综合决定。 实验报告内容要求 1.实验名称、实验者姓名、实验时间地点和指导教师等。 2.实验目的与要求。 3.实验用仪器仪表的名称和型号。 4.实验电路和测试电路。包括实验所用的器件品种、数目和参数。 5.实验内容、步骤,在这部分内容中,应用简明的语言或提纲给出实验的具体内容,步骤、记录实验中的原始数据,绘制出根据观察到的波形整理出的图表、曲线,反映在实验中遇到的问题及处理的经过。如对原实验方案进行了调整,则应写出调整方案的理由和调整情况。 6.实验结果及分析。实验结果是对实验所得的原始数据进行分析计算后得 出的结论。可以用数值或曲线表达,实验结果应满足实验任务的要求。 7.实验小结。总结实验完成的情况,对实验方案和实验结果进行讨论,对 实验中遇到的问题进行分析,简单叙述实验的收获、体会等。 8.参考资料。记录实验进行前、后阅读的有关资料,为今后查阅提供方便。

实验一TTL 与非门参数测试及使用 一、实验目的 1、学习 TTL 和 CMOS 门电路的逻辑功能测试方法,加深认识TTL与CMOS门电路的电平 差异。 2、通过测试TTL 与非门的电压传输特性,进一步理解门电路的重要参数及其意义(包 括 U OL、 U OH、 U ON、 U OFF、 U TH、 U NL、 U NH)。 3、了解一般的集成门电路器件的常用封装形式和引脚排列规律,掌握使用方法。 4、熟悉数字实验箱的结构和使用方法。 二、预习要求 1、 TTL 与 CMOS 门电路的逻辑功能及闲置输入端的处置方法。 2、电压传输特性曲线及其所表征的主要参数的意义。 3、设计实验数据纪录表格 三、实验内容 1、测试 TTL 与非门 74LS00 和 CM0S 或非门 CC4001 逻辑功能。 (1)识别 72LS00 和 CC4001 的封装及引脚排列。 (2)正确连接测试电路,特别注意直流工作电压的大小和极性。 ( 3)测试它们的真值表,要求纪录输入高低电平(U IL、 U IH)和输出高低电平(U OL、 U OH ) 。 ( 4)实验TTL和CMOS门电路的输入端悬空对门电路输出的影响。 2、测试 TTL 与非门电压传输特性。 (1)正确连接测试电路,特别注意实心电位器的连接,连接错误易损坏电位器。 (2)注意在特性曲线的转折处应适当增加测量点。 (3)正确读取数据并纪录。 四、实验报告 1、书写格式要规范,书写认真、字迹清晰。 2、实验报告内容要齐全 3、测试的原始数据要真实,不能随意修改原始数据。 4、绘制 TTL 门的传输特性曲线,并根据曲线标出U ON、U OFF、 U TH及 U NL、 U NH。 5、实验结果分析与小结 实验二组合逻辑电路设计 一、实验目的 1、学习用小规模集成电路设计组合逻辑电路的方法,进一步掌握组合逻辑电路的 分析和设计方法。 2、学习用中规模集成电路实现组合逻辑函数的方法 3、学习数字电路实验中查找电路故障的一般方法。 二、预习要求 1、组合逻辑电路分析、设计的一般方法。 2、用译码器和数据选择器实现组合逻辑函数的方法。 3、画出用译码器74LS138 实现半加器的电路图。 三、实验内容 1、用与非门实现半加器。

数字电路 实验简答题

1.如何通过示波器观察法确定触发器是上升沿触发还是下降沿触发? 答:将输入信号和输出信号同时在双踪示波器上显示,输出在输入的下降沿变化,就是下降沿触发,反之就是上升沿 2.用TTL与非门组成反相器时,其多余输入端应如何处理?用TTL或非门组成反相器时,其多余输入端应如何处理? 答:与非门的任意一个输入端是低电平,则其它的输入端无论怎样变化,输出永远是高电平,所以,与非门多余的输入端必须要接高电平或电源正极,否则会阻断其它输入端的信号的。将或非门的多个输入端中的一个脚作输入,其余输入脚的都接低电平或电源负极,这样接法的输入输出也是一反向器. 3.在TTL与非门某输入端接10K电阻时相当于输入逻辑1还是逻辑0?如果接100欧姆时相当于输入逻辑1还是逻辑0? 答:与非门输入端串接10K或100欧电阻只属于限流电阻,并不能代表高低电平信号。若输入的是高电平信号经10K或100欧电阻在与非门输入端时,输入逻辑为1;相反,若输入的是低电平信号经10K 或100欧电阻在与非门输入端时,输入逻辑为0。 4.用示波器双通道观察某计数器的时钟信号与其某输出端信号的波形时,如何选择触发源才能使两波形都稳定? 答:以较低的频率信号作为触发源!在低频率信号的一个周期内,同时也很容易看到较高频率的另一个信号完整的一个周期以上的信号

的情况。而反过来如果是以较高的频率信号作为触发源,那么由于周期短,需要数个周期才能容纳下低频信号的一个完整波形,所以就不易稳得下来。 5.用示波器测交流信号的幅度时,测的是什么?它与用万用表测的交流有效值之间的关系是什么? 答:测的是电压值最大值;示波器测量电压是测量的瞬间电压状态(的图形),因此我们可以得到被测量量的多项参数,比如,频率、波形、峰值等等。而用万用表只能得到被测量电压的有效值根号2倍 6.0设用示波器测量V=10V的直流电平,问示波器Y通道的电压灵敏度能否选择1V/div?如果测量V=-3V,电压灵敏度为500mv/div,示波器显示的波形相对于0电平的位置将向什么方向变化,变几个格? 答:不能选择1V/div,超过示波器的显示范围了; 7.555定时器试验中,第5管脚为什么要经一个电容接地?第4管脚为什么要接+5V? 答:5脚为电压控制端,在此端外加电压可以改变比较器的参考电压,不用时,经0.01uF的电容接地,以防止引入干扰;4脚为复位端,输入负脉冲(或使其电压低于0.7V)可使555定时器直接复位

相关主题
文本预览
相关文档 最新文档