当前位置:文档之家› 数字电路试卷与答案

数字电路试卷与答案

数字电路试卷与答案
数字电路试卷与答案

………密………封………线………以………内………答………题………无………效……

电子科技大学二零零六至二零零七学年第二学期期末考试

试卷评分基本规则

数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分

一、填空题(每空1分,共5分)

1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。

2、DAC的功能是将(数字)输入成正比地转换成模拟输出。

512 EPROM可存储一个(9 )输入4输出的真值表。

3、4

4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。

5、已知二进制原码为 ( 001101) 2 , 问对应的8-bit的补码为 ( 00001101 )2.

二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分)

1、八路数据分配器的地址输入端有(B)个。

A. 2

B. 3

C. 4

D. 5

2、以下描述一个逻辑函数的方法中( C )只能唯一表示。

A.表达式

B.逻辑图

C.真值表

D.波形图

3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。

A. 状态数目更多

B. 状态数目更少

C. 触发器更多

D. 触发器更少

4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。

A. 2

B. 3

C. 4

D.5

5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。

A. F=B’C’+AC+A’B

B. F=A’C’+BC+AB’

C. F=A’C’+BC+AB’+A’B

D. F=B’C’+AC+A’B+BC+AB’+A’C’

………密………封………线………以………内………答………题………无………效……

三、 组合电路分析: (共10分)

1.求逻辑函数 BC BC A AB F ++='' 最简和之积表达式。 (4分) 解:B F =

(2). 已知逻辑函数 F=W+XZ+XY , 请写出与该函数对应的最小项列表表达式: F=ΣWXYZ ( ) (3分)

F=ΣWXYZ ( 5,6,7,8,9,10,11,12,13,14,15 )

(3). 请完成给定电路的定时图(假设每一个逻辑门均有一个单位的时延Δ)。 (3分)

解:

四、 试用一片三输入八输出译码器(74X138)和适当的与非门实现函数:

F = A ’BD ’ + A ’CD ’ + BCD ’

写出真值表,画出电路连接图。译码器如下图所示。 (10分) 解:

∑∑?'==D C B A C B A D F ,,,,,)7,3,2,1()14,6,4,2(

五、一个2_BIT 比较器电路接收2个2_BIT 数P(P=P 1P 0)和Q(Q=Q 1Q 0)。现在要设计一个电路使得当且仅当

P>Q 时,输出F P>Q 为“1”。请你写出与该电路要求对应的真值表。 (5分) 解:

………密………封………线………以………内………答………题………无………效……

………密………封………线………以………内………答………题………无………效……

六、时序电路设计: (共20分)

1、 已知状态/输出表如下,根据状态分配(state assignment ),写出转换/输出表;写出针对D 触发器的激励/输出表; (7分)

state/output table : state assignment :

S X

0 1 B ,0 D ,1 B C ,0 A ,0 C D ,0 B ,0 D A ,1 C ,0

S*,Z

2、已知针对D 触发器的激励/输出表如表所示,请导出对应的激励方程、输出方程; (8分)

激励方程:X

Q Q X Q D '?'?+?=2121,X Q Q D '?'+'=212 输出方程:X Q Q X Q Q Z ?'?+'??=2121

3、已知针对J_K 触发器的激励方程、输出方程如下,请画出电路图,时钟上升沿有效。 (5分)

激励方程: J 0=( A ⊕Q 1 )’ ; K 0=( A Q 1)’

J 1= A ⊕Q 0 ; K 1=(A ’Q 0)’

输出方程: Y=((AQ 1)’(A ’Q 0))’

电路图例:

七、时序电路分析: (共15分)

1、已知电路如图所示,写出电路的激励方程、输出方程、转移方程和建立转移/输出表; (8分) 解:激励方程:A D =1,QD J =2,J Q K '=2

输出方程:QJ Y =

S Q1 Q2 A 0 0 B 0 1 C 1 0 D 1 1

………密………封………线………以………内………答………题………无………效……

转移方程:A D QD ==1* QJ QD QJ J Q QD QJ K J Q J QJ +=+'?=?'+'?=22* 转移/输出表: QDQJ A Y 0 1 01 01 11 1 10 01 11 0 11 01 11 1

QD*QJ*

2、已知某时序电路的转移方程和输出方程如下,请画出与输入波形对应的输出Y 的波形图(设起始状态为Q 1,Q 0=00,时钟上升沿有效)。 (7分)

转移方程: Q 0*=Q 0A ’+Q 0’A

Q 1*=Q 1A ’+Q 1’Q 0A+Q 1Q 0’A 输出方程: Y=Q 1Q 0A 波形图:

………密………封………线………以………内………答………题………无………效……

八、74x163为同步清零,同步计数的4位二进制计数器,利用74X163和必要的门电路设计一模14计数器,计数序列为:1、2、3、4、5、6、7、8、9、10、11、12、13、15、1、2…..。完成设计并画出电路。(10分)

解:1)、置数信号的产生分析:

在输出Q D Q C Q B Q A=1101(13)时载入1111(15),在输出Q D Q C Q B Q A=1111(15)时载入0001(1),所以Q D Q C Q B Q A=11X1时L D_L=0,得L D_L=(Q D Q C Q A)’。

2)、载入值DCBA的产生分析:

根据分析,在计数状态为Q D Q C Q B Q A=1101(13)时载入1111(15),在Q D Q C Q B Q A=1111(15)时载入0001(1),由此可有以下方案可实现:

………密………封………线………以………内………答………题………无………效……

方案1:取A=‘1’,B=C=D=RCO ’ 或

方案2:取A=Q A ,B=Q B ’,C=D=(Q B Q A )’ 或 还有其他方案。

九、采用集成4位移位寄存器74X194和集成多路选择器74X151连接的电路如下所示。(15分)

1) 写出反馈函数F 的表达式;

2) 指出在该电路中74X194实现的状态序列。 3) 写出该电路的转移/输出表。(电路输出为Y 和Z ) 4位通用移位寄存器74194的功能表如下表所示。

解:1)F=Q1⊕Q0

2)74X194实现一个循环周期为7的电路,状态(Q2Q1Q0)序列为:

111→011→001→100→010→101→110→111→…,若Q2Q1Q0=000,S0=1,下一状态为111。 3)转移/输出表:

产生两个序列:0111001和1001011。

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电路试卷与答案

电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为( 001101) 2 , 问对应的8-bit的补码为( 00001101)2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C)只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电子技术基础期末考试试卷及答案

数字电子技术基础期末考试试卷及答案 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门

3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

《数字电路》期末模拟试题及答案 3

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于___ ___偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 A 1 A B 3

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += 化简下列逻辑函数,写出最简与或表达式: 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A + ++? 分析设计题: 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 1A A A A D Y =(2D Y =( 2.TTL

数字电路试卷标准答案

标准答案及评分标准 课程名称:数字电路 适用专业(班级): 课程归属:理工学科部 是否可携带(填写计算器、词典等):计算器 开卷、闭卷:闭卷 学科部主任: 出卷人: ―――――――――――――――――――――――――――――――――― 一.选择题(每小题2分,共20分) 1.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 2.若输入变量A 、B 全为1时,输出F=1,则其输入与输出的关系是 【 B 】 A.异或 B.同或 C.或非 D.与或 3.在下列逻辑电路中,不是组合逻辑电路的是 【 D 】 A. 译码器 B. 加法器 C. 编码器 D.寄存器 4.一个8选一的数据选择器,其地址输入(选择控制输入)端的个数是 【 C 】 A.4 B.2 C.3 D.16 5.最小项ABCD 的逻辑相邻最小项是 【 A 】 A. ABCD B. ABCD C. ABCD D. ABCD 6.同步计数器和异步计数器比较,同步计数器的最显著优点是 【 A 】 A .工作速度高 B.触发器利用率高 C.电路简单 D.不受时钟CP 控制 7.一位8421BCD 码译码器的数据输入线与译码输出线的组合是 【 C 】 A .4:6 B.1:10 C.4:10 D.2:4 8.组合逻辑电路通常由【 】组合而成。 【 B 】 A.触发器 B.门电路 C.计数器 D.锁存器 9.8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出0 12Y Y Y ??的值是 【 C 】 A.111 B.010 C.000 D.101 10.逻辑表达式A +B C = 【 C 】 A.A+B B. A+C C.(A+B )(A+C ) D.B+C

数字电路期末复习题与答案

数字电路期末复习题及答案 一、填空题 1数字信号的特点是在时间上和幅值上都是断续变化的,其高电平和低电平常用 1 _ 和_0 ___ 来表示。 2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。 3、逻辑代数又称为布尔代数。最基本的逻辑关系有与、或、非三种。常 用的几种导出的逻辑运算为与非或非与或非同或异或。 4、逻辑函数的常用表示方法有逻辑表达式真值表逻辑图。 5、逻辑函数F=A BCD +A+B+C+ __________ 。 6、逻辑函数F=A B A B A B AB = ______ 0 _______ 。 7、OC门称为集电极开路门,多个OC门输出端并联到一起可实现丄与功能。 8、TTL与非门电压传输特性曲线分为饱和区、转折区、线性区、截止区。 9、触发器有2 个稳态,存储8位二进制信息要__8 ________________________个触发器。 1 0、一个基本RS触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S = 0且R= 0的信号。 11、一个基本RS触发器在正常工作时,不允许输入R=S= 1的信号,因此它的约束条件是RS=0 。 1 2、在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。 13、施密特触发器具有回差现象,又称电压滞后特性;单稳触发器最重 要的参数为脉宽。 14、半导体数码显示器的内部接法有两种形式:共阴接法和共阳接法。 15、对于共阳接法的发光二极管数码显示器,应采用低电平驱动的七段显示译码器。 16、寄存器按照功能不同可分为两类:移位寄存器和数码寄存器。 17、时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时序电路和异步时序电路。 、选择题 1 一位十六进制数可以用 C 位一进制数来表示。 A 1 B . 2 C.4D16 2、十进制数25用8421BCD码表示为 B 。 A 10 101 B . 0010 0101 C.100101 D .10101 3、以下表达式中符合逻辑运算法则的是D。 2 A. C ? C=C B. 1+1 =10 C.0<1 D. A+1 =1 4、当逻辑函数有n个变量时,共有 D 个变量取值组合? A. n B. 2n C. n2 D. 2n 5、在何种输入情况下,“与非”运算的结果是逻辑0。 _D _____________________ A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 6、N个触发器可以构成能寄存B 位二进制数码的寄存器。 A. N- 1 B. N C. N+1 D. 2N 7、一个触发器可记录一位二进制代码,它有C 个稳态。 A. 0 B. 1 C. 2 D. 3 E. 4

数字电路的期末试题及标准答案

数字电路的期末试题 一、客观题:请选择正确答案,将其代号填入()内;(本大题共10小题,每空2分,共20分) ⒈当某种门的输入全部为高电平,而使输出也为高电平者,则这种门将是: A.与非门及或非门; B.与门及或门; C.或门及异或门; D.与门及或非门.( B ) ⒉在如下所列4种门电路中,与图示非门相等效的电路是:( B ) ⒊已知,则函数F和H的关系,应是:( B ) A.恒等; B.反演; C.对偶; D.不确定. ⒋若两个逻辑函数恒等,则它们必然具有唯一的:(A) A.真值表; B.逻辑表达式; C.电路图; D.逻辑图形符号. ⒌一逻辑函数的最小项之和的标准形式,它的特点是:(C) A.项数最少; B.每个乘积项的变量数最少; C.每个乘积项中,每种变量或其反变量只出现一次; D.每个乘积项相应的数值最小,故名最小项. ⒍双向数据总线可以采用( B )构成。 A.译码器; B.三态门; C.与非门; D.多路选择器. ⒎在下列逻辑部件中,不属于组合逻辑部件的是( D )。 A.译码器; B.编码器; C.全加器; D.寄存器. ⒏八路数据选择器,其地址输入端(选择控制端)有( C )个。

A.8个 B.2个 C.3个 D.4个 ⒐为将D触发器转换为T触发器,如图所示电路的虚线框内应是( D )。 A.或非门 B.与非门 C.异或门 D.同或门 ⒑为产生周期性矩形波,应当选用( C )。 A.施密特触发器 B.单稳态触发器C.多谐振荡器 D.译码器 二、化简下列逻辑函数(每小题5分,共10分) ⒈用公式法化简逻辑函数: ⒉用卡诺图法化简逻辑函数:Y(A,B,C,D)=∑m(2 ,3,7,8,11,14) 给定约束条件为m0+m5+ m10+m15=0 三、非客观题(本题两小题,共20分) ⒈如图所示为三输入变量的或门和与门的逻辑图。根据两种不同的输入波形(见图b),画出Y1、Y2的波形。(本题共8分,每个Y1、Y2各 2分) 解

数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记

数字电子技术练习题及答案

数字电子技术练习题及答案 一、填空题 1、(238)10=( )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。 26、触发器又称为双稳态电路,因为它具有( 两个 )稳定的状态。 27、根据逻辑功能不同,触发器可分为( RS 触发器 )、( D 触发器 )、( JK 触发器 )、( T 触发器 )和( T ’触发器 )等。根据逻辑结构不同,触发器可分为( 基本触发器 )、( 同步触发器 )和( 边沿触发器 )等。 28、JK 触发器在JK =00时,具有( 保持 )功能,JK =11时;具有( 翻转 )功能;JK =01时,具有( 置0 )功能;JK =10时,具有( 置1 )功能。 29、JK 触发器具有( 保持 )、( 置0 )、( 置1 )和( 翻转 )的逻辑功能。D 触发器具有( 置0 )和( 置1 )的逻辑功能。RS 触发器具有( 保持 )、( 置0 )和( 置1 )的逻辑功能。 T 触发器具有( 保持 )和( 翻转 )的逻辑功能。T ’触发器具有( 翻转 )的逻辑功能。 30、边沿触发器具有共同的动作特点,即触发器的次态仅取决于CP 信号( 上升沿或下降沿 )到来时刻输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。 31、基本RS 触发器的特性方程是( n n Q R S Q +=+1 );其约束条件是( 0=RS )。JK 触发器的特性方程是( n n n Q K Q J Q +=+1 );D 触发器的特性方程是( D Q n =+1 );T 触发器的特性方程是( n n n Q T Q T Q +=+1 ); T ’触发器的特性方程是( n n Q Q =+1 )。

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路期末试卷及答案A

系名____________班级____________姓名____________学号____________ 密封线内不答题 2011 —— 2012 学年第 2 学期 课程名称: 数字电子技术基础 使用班级:11级电子、通信、控制本科 一、 填空、单选题(在括号内填入所选序号)(每小题2分、共20分) 1、5F.8 16 =(___________) 10 =(___________) BCD 8421 2、一个四位二进制递减计数器的初态为1110,经过三个计数脉冲后,该计数器的状态 为_________。 3、要用1K ×4的RAM 构成存储容量为4K ?16位的存储器,需要用 _________片进行扩展。 4、A/D 转换器用以将输入的_________转换成相应_________输出的电路。 5、偏离状态能在计数脉冲作用下自动转入有效状态的特性,称为__________特性。 6、如果F (A,B,C )=∑)7,5,4,2,0(m ,那么F (A,B,C)=M ∏( ) 。 A. 0,2,4,5,7 B . 1,3,6 C . 0,2,3,5,7 D . 1,4,6 7、已知D/A 转换器的最小输出电压为10mv ,最大输出电压为2.5v ,则应选用( )位的D/A 转换器。 A . 7 B . 8 C. 9 D . 10 8、存储容量为256×8的RAM 有( )根地址输入线。 A . 8 B. 256 C . 10 D . 11 9、TTL 电路中三极管作为开关时工作区域是( )。 A. 饱和区+放大区 B. 饱和区+截止区 C. 放大区+击穿区 D. 击穿区+截止区 10、4位输入的二进制译码器,其输出端有( )位。 A. 16 B. 8 C. 4 D. 2 二、逻辑函数简化及变换 (共15分) 1、用公式法将下面的逻辑函数式化简为最简与—或表达式。(6分)

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电子技术基础习题及答案

数字电子技术基础考题 」、填空题:(每空3分,共15分) 辑表达式 )和( 卡诺图 路,称为全加器。 等° 17. 根据不同需要,在集成计数器芯片的基础上,通过采用 进位输出置最小数法 等方法可以实现任意进制的技术器。 18. 4. 一个JK 触发器有_2_个稳态,它可存储_J — 位二进制数。 19. 若将一个正弦波电压信号转换成 同一频率的矩形波,应采用 多谐振荡器 _______ 电路。 20. __________________________________________ 把JK 触发器改成T 触发器的方法是J=k=t __________________________________________________ 。 21. N 个触发器组成的计数器最多可以组成 _^n 进制的计数 器。 1逻辑函数有四种表示方法,它们分别是( 真值表 )、( 逻辑图 2. 将2004个“ 1 ”异或起来得到的结果是( 3. 由555定时器构成的三种电路中, )和( 是脉冲的整形电路。 4. TTL 器件输入脚悬空相当于输入( 电平。 5. 基本逻辑运算有:(and not )和(or )运算。 6. 采用四位比较器对两个四位数比较时, 先比较 最咼 位。 7. 触发器按动作特点可分为基本型、 (同步型 主从型 )和边沿型; 如果要把一宽脉冲变换为窄脉冲应采用 积分型单稳态 触发器 9. 目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是 TTL )电路和 CMOS )电路。 10. 施密特触发器有( 2 )个稳定状态?,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为 功能扩展电路、功能综合电路 两种; 12?两二进制数相加时,不考虑低位的进位信号是 加器。 13?不仅考虑两个 本位 .相加,而且还考虑来自 低位进位 _______ 相加的运算电 14.时序逻辑电路的输出不仅和 该时刻输入变量的取值 有关,而且还与_电路原来 的状态 有关。 15?计数器按CP 脉冲的输入方式可分为 同步计数器和 异步计数器。 16?触发器根据逻辑功能的不同,可分为 rs jk 反馈归零法 置数法

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

相关主题
文本预览
相关文档 最新文档