当前位置:文档之家› 最新数字电路复习笔记

最新数字电路复习笔记

最新数字电路复习笔记
最新数字电路复习笔记

Chapter1 数制和数码

1.1数制转换:Binary、Octal、Decimal、Hexadecimal

B→D:数字乘以其位权。

B→O:三位一组

B→H:四位一组

D→B:法一:整数部分:除以二,得到由余数以及最后的商(0或1)组成的值,它们的位权依次为2^0,2^1,2^2……。小数部分:乘以二,结果小于1,则标志位为0;大于1则标志位为1,再将结果减去1后作下一轮乘以二,这样也得到一组值,它们的位权依次为2^(-1),2^(-2),2^(-3)……。法二:拼凑,将该数与2^n作比较。

D→O、D→H都是先将D→B,然后B→O、B→H

O和H间转换都是以B为桥梁。

1.2 原码、反码、补码

正数:原码=反码=补码

负数:反码不变符号位,其他取反;补码先反码,再在最低位加1

1.3 二进制数的计算

加:逢二进一

减:借一当二。A-B在计算机中是A(补)+(-B)(补),得到是结果的补码。

乘:移位累加

除:长除法。同十进制,除数(n位),若被除数最高的n位大于除数,则开始写商,不然在n+1位开始。

1.4 二进制数码

对十进制数0~9编码,需要四位二进制,主要有:

有权码:8421码、2421码、5211码

无权码:格雷码、余3码、循环余3码

有权码的位权即为名称中的数字;格雷码相邻两数只有一位数码产生变化,且无法用计算式表达。

Chapter2 逻辑函数及其简化

2.1 逻辑运算

变量取值:0、1,逻辑运算1+1=1,而算数运算1+1=0。

基本运算:与、或、非

与门:Y=A?B=AB

或门:Y=A+B

非门:Y=

衍生运算:与非、或非、同或、异或

与非:

或非:

同或:

异或:

总结:逻辑符号中,与是&,或是≥1,非是1;

电路符号中,与是包子型,或是月亮型,非是小环。

2.2逻辑代数的运算规则

2.2.1 公式、定律

1 基本公式

加法(或):注意A+A+A+……=A加法重叠规律。

乘法(与):注意A·A·A·……=A乘法重叠规律。

2 运算定律

结合律:加法、乘法

分配律:注意A+B·C=(A+B)·(A+C)

交换律:加法、乘法

反演律:或非=非与、与非=非或(与=非或非、或=非与非)3 吸收定律(吸收冗余项)

A

A=

+

A B

=

+

A+

A

B

B

A

4其他公式

AB+

=

A

+

+

C

A

C

BC

AB

AB+

=

+

A

+

AB

C

C

A

BCD

2.2.2 运算法则

1.代入规则:因为只可取0或1,所以可用式子替量。

2.反演规则:对于任一逻辑表达式,原变量换成反变量、反变量换成原变量、与变非、非变与、0换成1、1换成0,两个表达式相等。

注意:F E D C B A ABCDEF +++++=即与数量无关。

3.对偶规则:两个式子相等,则其各自的对偶式也相等。 对偶式:与变或、或变与、1变0、0变1

总结:这些性质、定律、规则之所以成立,都是因为逻辑运算的自变量是布尔量。 2.3 逻辑函数的代数变换及简化

逻辑函数的表示方法:逻辑表达式、逻辑图、真值表、卡诺图 2.4 逻辑函数的标准形式:最大项表达式、最小项表达式

最大项:逻辑函数中所有自变量(原变量或者反变量)的或项。任何函数都可以被其最大项之积唯一描述。将这些最大项罗列出来,译码得到一个十进制数,即为最大项的编号。

)

( D)C B A ()D C B A ()D C B (A D)C B (A D)C,B,L(A,用最大项编号∏=+++?+++?+++?+++=N

最小项:逻辑函数中所有自变量(原变量或者反变量)的与项。任何函数都可以被其最小项之和唯一描述。将这些最小项罗列出来,译码得到一个十进制数,即为最小项的编号。

)

( D C B A D C B A D C B A D C AB D ABC D C AB ABCD D)C,B,L(A,用最小项编号∑=++++++=m

同一函数的最大项表达式和最小项表达式的关系:二者的编号互补。实际应用中,常用最小项表达式来表示一个逻辑函数,这是由于加比乘方便。 2.5逻辑函数的卡诺图表示

卡诺图其实就是方格表,每个方格对应自变量的一组取值,

注意图中m 下标的变化,这是由于横、纵两向相邻的自变量取值只变化一个。 用卡诺图表示最小项表达式(L=∑),则1表示原变量,0表示反变量,也即变量的二进制编码对应最小项编号时,L=1;用卡诺图表示最大项表达式(L=∏),则1表示反变量,0表示原变量,也即变量二进制编码对应最大项编号时,L=0。

卡诺图(最小项表达)的化简:相邻两个方格为1,对比其自变量的二进制编码,有变化的量则消去,留下不变量,且1为原变量,0为反变量。注意化简时要把卡诺图当成一个无缝连接的立体。两次合并方格,至少有一个小方格是不同的。 Chapter3 逻辑门电路

3.1分立元件门电路 3.1.1二极管开关特性

正向导通,反向截止

如果二极管外接正向电压,只要该电压值超过二极管的正向开启电压th V ,二极管导通,而其正向电压将维持在锗管0.2V ,硅管0.7V ,流经二极管的电流较大,可以认为相当于开关闭合。如果二极管外接反向电压,只要该电压不超过反向击穿电压BR V ,或者小于th V 的正向电压,流过二级干的电流很小,此时相当于开关断开。 3.1.2 三极管的开关特性(以NPN 管为例)

三极管的三极:基极B(Base)、发射极E(Emitter)、集电极C(Collector)。 三极管三种工作状态:截止、放大、饱和,

截止:发射结反偏、集电结反偏,相当于开关断开。条件:0B ≈I

放大:发射结正偏、集电结反偏,β/0CS B I I <<(CS I 为集电极的饱和电流) 饱和:发射结正偏、集电结正偏,相当于开关闭合。条件:β/CS B I I > 三极管的工作状态,主要看三极管脚的电位。

在数字电路中,NPN 型三极管的集电极电压决定其本身的工作状态,若该电压信号为高电平时,则该三极管处于饱和导通状态,若该电压信号为低电平,则该三极管处于截止状态。

3.1.3 MOS 管的开关特性(以增强型为例)

栅极G (Gate )、漏极D (Drain )、源极S (source )。

GS U <开启电压T U :MOS 管工作在截止区,漏源电流DS i 基本为0,输出电压

DS U ≈DD U ,MOS 管处于"断开"状态。

GS U >开启电压UT :MOS 管工作在导通区,漏源电流DS i =DD U /(D R +DS r )。其中,rDS

为MOS 管导通时的漏源电阻。输出电压DS U =DD U ·DS r /(D R +DS r ),如果DS r <<D R ,则

DS U ≈0V,MOS 管处于"接通"状态。

三极管是流控元件,MOS 管是压控元件;三极管开关速度慢,开关损耗大,驱动损耗大,导通损耗也大;三极管便宜,MOS 管贵。

3.2 TTL 集成逻辑门

为了让多个逻辑门电路输出能够实现并联连接使用(线与),常用的电路形式有两种:一种称为集电极开路门电路(OC open collector gate );另一种为三态输出逻辑门电路(TS three state output gate ) Chapter 4 组合逻辑电路

逻辑电路分为两大类:组合逻辑电路(Combination logic circuit )和时序逻辑电路(Sequential logic circuit)

组合逻辑电路特点

1.输入域输出之间一般没有反馈回路;

2.电路中没有记忆单元;

3.当输入信号的状态组合改变时,输出状态也随之改变。 竞争与冒险Competition&Risk

竞争:组合电路中,某一输入变量经不同路径传输后,到达电路中某一汇合点的时间有先有后,此乃竞争。

冒险:由于竞争而使电路输出发生瞬间错误的现象。 如果一个自变量的原变量和反变量都出现在逻辑函数中,那么就有产生竞争,但竞争未必产生冒险。

判断方法:

1.代数法:如果函数表达式经过化简出现A A F +=,则会出现负向毛刺,称为0型冒险,如果函数表达式经过化简出现A A F ?=,则会出现正向毛刺,称为1型冒险。

2.卡诺图法: 与门 或门 非门

A B

+12V +3V

消除竞争冒险的方法

1.加滤波电路(并联电容、串接积分电路)

2.加选通信号(加使能端,避开毛刺)

3.增加冗余项

Chapter5 中规模组合逻辑集成电路与应用

集成电路的规模:

SSI:small scale integration 小规模 MSI:medium scale integration 中规模 LSI:large scale integration 大规模

VLSI:very large scale integration 超大规模 5.1编码器

数字电路中,用二进制代码表示有关的信号称为二进制编码。 优先编码器允许多个输入信号同时有效,但是只按照其中优先级别最高的有效输入信号编码,对优先级别低的输入信号不予理睬。 5.2译码器

把二进制代码转换成对应的高低电平,表示特定对象的过程称为译码。 5.3 数据选择器(multiplexer MUX )

有n

2位地址输入、n

2位数据输入、1位输出,每次在地址输入的控制下,从多路输入数据中选择一路输出。

5.4 数据分配器(demultiplexer DEMUX )

又称多路分配器,功能与数据选择器相反,将一路输入数据按n 位辞职分送到n

2个数据输出端上。 5.5 数值比较器

比较两数的大小。 5.6 加法器

一位加法器:

1位半加器:仅仅实现两个1位二进制数相加逻辑功能的逻辑电路称为半加器,输入为两个二进制数A 和B ,输出为和数o S 和进位数o C 。

1位全加器:不仅实现两个1位二进制数相加逻辑功能,还考虑到了低位进位进行相加的逻辑电路称为全加器,其输入为两个1位二进制数A 和B 及低位的进位数n C ,其输出为和数o S 及进位数o C 。

用n 片1位全加器芯片能做出n 位全加器,但是,由于逐次进位需要时间pd t ,所以最高位等待的时间为n pd t ,这会影响运行速度。因此便出现具有超前进位功能的逻辑电路结构。

Chapter6 触发器

6.1触发器:具有记忆功能,是构成时序逻辑电路的基本单元。

触发器特点:

1.两个互补的输出端Q 和Q ,两者状态相反,有两稳定状态——1态和0态,故又称为双稳态触发器

2.状态变化称为翻转,引起翻转的信号称为触发信号。一旦触发器发生翻转,触发信号就可以撤销,但触发器状态维持不变。

3.时序工作。除了基本RS 触发器外,其他触发器的触发信号的有效作用时间,都需要时钟脉冲(上升沿、下降沿、中间某一点)。触发脉冲作用前的输出状态定义为“现态”,用

n Q 表示,而触发脉冲作用后的触发器输出状态定义为次态,用1+n Q 表示。

6.2触发器的电路结构及工作原理

基本RS 触发器:电路形式有两种:与非门结构和或非门结构。

触发器的输入和输出之间有四种情况:

1.RS =01时,无论n

Q 状态是什么,都有1+n Q =1,则1

+n Q

=0,即不论触发器原来处于

什么状态都将变为0状态,这种情况称为基本RS 触发器置0或复位,R 端称为基本RS 触发

器的置0端,或者复位端。

2.RS =10时,无论n

Q 状态是什么,都有1

+n Q =1,即不论触发器原来处于什么状态都

AB

C B

A B A B A S =⊕=+=o o AB

C B A AB C B A C C B A C AB B A C B A B A S n n n ++=+⊕=⊕⊕=+?++=)()()()(o o 图6-1 与非门结构基本RS 触发器

将变为1状态,这种情况称为基本RS 触发器置1或置位,S 端称为基本RS 触发器的置1端,或者置位端。

3.RS =11时,可知1

+n Q =n

Q ,即保持原状态,原来的状态被触发器存储起来,体现了

触发器的记忆功能。

4.RS =00时,1

+n Q

=1+n Q

=1,这不符合触发器输出端互补的逻辑关系。因此触发器不

允许出现这种情况,因此可以得到基本RS 触发器的约束条件:

1=+S R

进一步可以得到基本RS 触发器的逻辑表达式:

或非门组成的基本RS 触发器的逻辑表达式:

总结:与非门基本RS 触发器的关键在于利用0能封锁与非门,或非门基本RS 触发器的关键在于1能封锁或非门。

同步RS 触发器:在基本RS 触发器的基础上,加上控制逻辑电路,由控制脉冲CP (control pulse )控制。CP=1期间接受输入信号,CP=0时状态保持不变。S 、R 之间SR=0的约束。

或非门型的同步RS 触发器的控制逻辑电路也是两个与非门构成。 主从RS 触发器:

由两个同样的同步RS 触发器组成,主触发器的触发信号能决定从触发器的触发信号,二者之间通过一个非门连接。

特点:

1. 由两个同步RS 触发器组成,受互补始终信号控制;

2. 触发器的输出在时钟脉冲信号发生跳变(下降沿)时,发生翻转。 主从JK 触发器:

在主从RS 触发器的基础上,输出端分别连接到主触发器作为其输入量之一。 特点:

1.主从JK 触发器采用主从控制结构,从根本上解决了输入信号直接控制的问题,CP=1期间接受输入信号,CP 下降沿到来时触发翻转。

2.输入信号J 、K 之间没有约束。 11=++=+S R RQ S Q n

n 01=?+=+S R RQ S Q n

n 图6-2 同步RS 触发器(与非门型)

3.存在一次变化问题。

主从D触发器:

在JK触发器的基础上,若在输入信号K之前加上一反相器后和J相连,是主从JK触发器两输入信号互补,则构成主从D触发器。

主从T触发器

将JK触发器的输入信号J和K连接在一起,即J=K=T则构成T触发器。

T触发器是当T=1时的T触发器。

Chapter7 时序逻辑电路的分析与设计

7.1 时序逻辑电路概述

时序电路的基本特点:

1.具有记忆功能的元件

2.具有反馈通道,使记忆下来的状态能在下一时刻影响电路。

同步时序电路:电路中的各个触发器都统一在一个时钟脉冲作用下工作

异步时序电路:电路中的各个触发器可以在不同的时钟脉冲作用下工作

时序电路还可以分为:米里型(Mealy)——输出状态不仅与存储电路的状态有关,还与输入有关;摩尔型(Moore)——输出状态仅与存储电路的状态有关。

时序电路的描述方法:逻辑方程、状态转换表(状态表)、状态转换图(状态图)、时序图(波形图)。

7.2 同步时序逻辑

电路设计步骤:

1.逻辑抽象

2.状态化简

3.状态编码

4.确定触发器类型

5.画出电路逻辑图,检查电路自启动情况

7.3 异步时序逻辑

异步时序逻辑电路需要确定每一个触发器的时钟信号,列出相应的时钟方程,判断各个触发器在何时能够进行状态的改变。

Chapter8 常用时序集成器件

8.1 计数器

利用JK触发器,通过对其输入、脉冲的不同连接方法,可以组成二进制同步计数器,二进制异步计数器、十进制计数器等多种加减法计数器。

集成计数器芯片74LS161是4位二进制同步加法计数器,双列直插标准封装

集成计数器主要作为分频、定时、计时和脉冲节拍产生器等使用。

用集成计数器构成任意进制的计数器,具体方法包括反馈清零法(基数反馈到清零端)、反馈置零法、反馈置数法。

分频表示变化频率是原脉冲的N分之一,也即周期是原脉冲的N倍。

8.2锁存器和移位寄存器

8.2.1 锁存器

锁存器:也称寄存器,计算机和数字电子系统中用于存储二进制代码等运算数据的一种逻辑器件。仅有并行输入、输出数据功能的寄存器习惯称为锁存器;具有串行输入、输出数据功能的,或者同时具有串行和并行输入、输出数据功能的寄存器称为移位寄存器。移位寄存器又称为串行输入寄存器,分为右移位寄存器、左移位寄存器和双向寄存器。

上海交大819考研复试数字电路期末复习题

数字电子电路复习练习题 一、填空题 1.半导体具有三种特性,即:热敏性、光敏性和__掺杂__性。 2.集电极反向饱和电流I CBO是指发射极___开路___时,集电极与基极之间加反向电压时测得的集电极电流,良好的三极管该值较____小_____。 3.逻辑函数的反演规则指出,对于任意一个函数F,如果将式中所有的__与、或运算,__互换,__0、1___互换,____原变量、反变量_____互换,就得到F的反函数?F。 4.格雷码又称__循环__码,其特点是任意两个相邻的代码中有__一__位二进制数位不同。 5.从TTL反相器的输入伏安特性可以知道两个重要参数,它们是___输入短路电流_________和_____输入漏电流_______。 6.输出n位代码的二进制编码器,一般有____2n ______个输入信号端。 7.全加器是指能实现两个加数和___(低位)进位信号___三数相加的算术运算逻辑电路。 8.时序电路除了包含组合电路外,还必须包含具有记忆功能的____存储_____电路。因此,仅用一般的逻辑函数描述时序电路的逻辑功能是不够的,必须引进____时间_____ 变量。 9.要使触发器实现异步复位功能(Q n+1=0),应使异步控制信号(低电平有效)?R D=______0_____,?S D=____1_______。 10.JK触发器当J=K=___1_____时,触发器Q n+1=?Q n。 11.n位二进制加法计数器有__2 n__个状态,最大计数值为__2 n-1__。 12.用555定时器构成的单稳态触发器,若充放电回路中的电阻、电容分别用R、C 表示,则该单稳态触发器形成的脉冲宽度t w≈__1.1RC _____。 13.施密特触发器具有两个___稳定___状态,当输出发生正跳变和负跳变时所对应的___输入___电压是不同的。 14.组成ROM电路中的输出缓冲器一般由三态门组成,其作用一是实现对输出状态的___三态__控制,二是提高带负载能力。 15.当RAM的字数够用、位数不够用时,应扩展位数。其方法是将各片RAM的___地址输入端___端、R/?W端和CS端并联起来即可。 二、选择题 1.与晶体三极管组成的电路相比,MOS管组成电路的主要特点是__b_______ 。

2010-2016年合肥工业大学832数字电路考研真题及标准答案解析-汇编

2017版合肥工业大学《832数字电路》全套考研资料我们是布丁考研网合工大考研团队,是在读学长。我们亲身经历过合工大考 研,录取后把自己当年考研时用过的资料重新整理,从本校的研招办拿到了最新的真题,同时新添加很多高参考价值的内部复习资料,保证资料的真实性,希望能帮助大家成功考入合工大。此外,我们还提供学长一对一个性化辅导服务,适合二战、在职、基础或本科不好的同学,可在短时间内快速把握重点和考点。有任何考合工大相关的疑问,也可以咨询我们,学长会提供免费的解答。更多信息,请关注布丁考研网。 以下为本科目的资料清单(有实物图及预览,货真价实): 2017版合肥工业大学《数字电路》全套考研资料包含: 一、合肥工业大学《数字电路》历年考研真题及答案解析 2016年合肥工业大学《数字电路》考研真题(含答案解析)(11月份统一更新)2015年合肥工业大学《数字电路》考研真题(含答案解析) 2014年合肥工业大学《数字电路》考研真题(含答案解析) 2013年合肥工业大学《数字电路》考研真题(含答案解析) 2012年合肥工业大学《数字电路》考研真题(含答案解析) 2011年合肥工业大学《数字电路》考研真题(含答案解析) 2010年合肥工业大学《数字电路》考研真题(含答案解析) 二、合肥工业大学《数字电路》期中期末试卷 三、合肥工业大学《数字电路》考研复习笔记 1、合工大《数字电路》2011-2013年内部答疑 2、合工大《数字电路》重点笔记 3、合工大《数字电路》考研复习指导 4、合工大《数字电路》重要概念总结 5、合工大《数字电路》复习大纲和复习题 6、合工大《数字电路》各章重要知识点 四、合肥工业大学《机械原理》考研复习题 1、合工大本科生《数字电路》模拟题含配套答案 2、合工大《数字电路》习题集 3、各高校《数字电路》经典试题集锦 适用专业: 物理电子学、电路与系统、微电子学与固体电子学、电磁场与微波技术、集成电 路与系统、电子与通信工程(专硕)、集成电路工程(专硕) 参考书目: 《数字电路与逻辑设计》(第二版),林红主编,清华大学出版社,2009年版。 以下为截图及预览: 2015年真题及答案

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

数字电子技术实验教案

湖南工学院教案用纸 实验1基本门电路逻辑功能测试(验证性实验) 一、实验目的 1?熟悉基本门电路图形符号与功能; 2?掌握门电路的使用与功能测试方法; 3?熟悉实验室数字电路实验设备的结构、功能与使用。 二、实验设备与器材 双列直插集成电路插座,逻辑电平开关,LED发光显示器,74LS00, 74LS20 , 74LS86,导 线 三、实验电路与说明 门电路是最简单、最基本的数字集成电路,也是构成任何复杂组合电路和时序电路的基本单 元。常见基本集门电路包括与门、或门、与非门、非门、异或门、同或门等,它们相应的图形符号与逻辑功能参见教材P.176, Fig.6.1。根据器件工艺,基本门电路有TTL门电路和CMOS门电路之分。TTL门电路工作速度快,不易损坏,CMOS门电路输出幅度大,集成 度高,抗干扰能力强。 1.74LS00 —四2输入与非门功能与引脚: 2. 74LS20 —双4输入与非门功能与引脚: 3. 74LS86 —四2输入异或门功能与引脚: 四、实验内容与步骤 1.74LS00功能测试: ①74LS00插入IC插座;②输入接逻辑电平开关;③输出接LED显示器;④接电源;⑤拔

动开关进行测试,结果记入自拟表格。 湖南工学院教案用纸

2. 74LS20功能测试: 实验过程与74LS00功能测试类似。 3. 74LS86功能测试: 实验过程与74LS00功能测试类似。 4. 用74LS00构成半加器并测试其功能: ①根据半加器功能:S A B , C AB,用74LS00设计一个半加器电路; ②根据所设计电路进行实验接线; ③电路输入接逻辑电平开关,输出接LED显示器; ④通电源测试半加器功能,结果记入自拟表格。 5. 用74LS86和74LS00构成半加器并测试其功能: 实验过程与以上半加器功能测试类似。 五、实验报告要求 1. 内容必须包括实验名称、目的要求、实验电路及设计步骤、实验结果记录与分析、实验总结与体会等。2?在报告中回答以下思考题: ①如何判断逻辑门电路功能是否正常? ②如何处理与非门的多余输入端? 实验2组合逻辑电路的设计与调试(设计性综合实验) 一、实验目的 1?熟悉编码器、译码器、数据选择器等MSI的功能与使用; 2?进一步掌握组合电路的设计与测试方法; 3?学会用MSI实现简单逻辑函数。 二、实验设备与器材

《数字电子技术基础》 阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电子技术基础教案

数字电子技术基础教案 太原工业学院 第1章逻辑代数基础

目的与要求: 熟练掌握基本逻辑运算和几种常用复合导出逻辑运算;熟练运用真值表、逻辑式、逻辑图来表示逻辑函数。 重点与难点: 重点:三种基本逻辑运算和几种导出逻辑运算;真值表、逻辑式、逻辑图之间的相互转换。难点:将真值表转换为逻辑式。 所谓数字电路,就是用0和1数字编码来表示和传输信息的系统,即信息数字化(时代)。 数字电路与传统的模拟电路比较,其突出的优点是:(如数字通 信系统)抗干扰能力强、保密性好、计算机自动控制、(数字测量 仪表)精度高、智能化、(集成电路)可靠性高、体积小等。 数字电子技术基础,是电子信息类各专业的主要技术基础课。 1、1概述 一、模拟量(时间、温度、压力、速度、流量):时间上和幅值上 连续变化的物理量; 模拟信号(正弦交流信号):表示模拟量的信号。 数字量:时间上和幅值上都不连续变化的物理量(工厂中生产的产品个数); 数字信号、数字电路。 数字电路中的数字信号 采用0、1两种数值(便于实现)(位bit 、拍) 0、1表示方法:电位型:电位高低(不归零型数字信号) 脉冲型:有无脉冲(归零型数字信号) 二、数制及其转换 由0、1数值引入二进制及其相关问题。 常用数制:举例:十进制、二进制(双)、七进制(星期)、 十二进制(打)等。 特点:基数:数制中所用数码的个数; 位权。 1. 十进制数 基数:10 位权:n 10 表达式:10)(N =(P2 式1-1)=i n m i i a 101 ?∑--= (1-1) 推广到任意进制R : 基数:R 位权:n R

表达式:R N )(=(P2 式1-2)=i n m i i R a ?∑--=1 (1-2) 2. 二进制数 表达式:2)(N =(P3 式1-3)=i n m i i a 21 ?∑--= (1-3) 位权:以K 为单位;按二进制思维(如1000个苹果问题); 例如:(1101.01)2= 0-16对应的二进制数 特点:信息密度低,引入八、十六进制。 3. 八进制、十六进制 八进制: 基数:8(0-7) 位权:n 8 表达式:8)(N == i n m i i a 81?∑--= ( 1-4) 十六进制: 基数:16(0-9,A ,B ,C ,D ,E ,F ) 位权:n 16 表达式:16)(N ==i n m i i a 161?∑--= 特点:和二进制有简单对应关系;信息密度高,便于书写。 4. 不同进制数的转换 ⑴ R →十:按位权展开,再按十进制运算规则运算。 例1-1、1-2、1-3(P4) ⑵ 十→R :分两步 整数部分:除R 取余,注意结束及结果; 小数部分:乘R 取整,注意精度及结果; 结果合并: ⑶ R=2k 进制之间的转换 二?八:3位?1位, 二?十六:4位?1位, 八?十六:以二进制为过度, 5. 进制的另一种表示方法: B (inary )----二; H(exadecimal)----十六; D(ecimal)----十; O----八 三、二—十进制代码(BCD 代码)

2012年全国硕士研究生入学考试数字电路(强化阶段测评试卷一)

硕士研究生专业题集之强化阶段测试一 数字电路

2012年全国硕士研究生入学统一考试数字电路 (强化阶段测评试卷一) 一.指出图P2.5中各TTL门电路的输出为什么状态(高电、低点平或高组态)? 二.判断逻辑函数 ,当输入变量ABCD按 变换时,是否存在静态功能冒险. 三.写出图中所示电路的输出最小项之和表达式.

四.请分析图P5.19所示的电路,要求: (1)写出各触发器的驱动方程; (2)写出个触发器的状态方程; (3)列出状态表; (4)画出状态转换图(要求画出Q1Q2Q3->). 五.下图是某时序电路的状态图,该电路是有两个D触发器FF1和FF0组成的,是求出这两个触发器的输入信号D1和D0的表达式,图中A为输入变量。 六.试画出图P5.13所示电路在连续三个CLK信号作用下Q1及Q2端得输出波形(设各触发器的初始状态均为0)。 七.试用4位同步二进制计数器74163实现十二进制计数器. 八.图P8.5(a)所示是用集成单稳态触发电路74121和D触发器的噪声消除电路,图P8.5 (b)为输入信号,设单稳态触发电路的输出脉冲宽度t w 满足t a

信号脉宽),试定性画出和V 得对应波形。 九.试用D触发器、与非门和一个2线-4线译码器设计一个4为功能移位寄存器,移位寄存器的功能表如图P6.13所示。 十.下图所示为一个由二进制加法计数器74161、译码器74154和门电路组成的实现某种功能的逻辑电路,若74161初态为,试将在连续10个CP脉冲作用下状态的变化和的数据填入列表(b)中。

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路基础解析

第6章数字电路基础 教学重点 1.理解模拟信号与数字信号的区别。 2.掌握基本逻辑门、复合逻辑门的逻辑功能和电路图形符号,会使用真值表。 3.了解TTL、CMOS门电路的型号、引脚功能,会测试其逻辑功能。 4.了解集成门电路的外形与封装,能合理使用集成门电路。 5.会进行二进制数、十进制数和十六进制数之间的相互转换。 6.了解8421BCD码的表示形式。 7.会用逻辑代数基本公式化简逻辑函数,了解其在工程应用中的实际意义。教学难点 1.集成门电路的合理使用。 2.二进制数、十进制数和十六进制数之间的相互转换。 3.用逻辑代数基本公式化简逻辑函数。 学时分配

6.1逻辑门电路 电信号可分为两大类:一类是模拟信号,另一类是数字信号,如图所示。 (a ) (b ) 在数字电路中,通常用电位的高、低去控制门电路,输入与输出信号只有两种状态:高电平状态和低电平状态。 规定用1表示高电平,用0表示低电平,称为正逻辑,反之为负逻辑。 6.1.1基本逻辑门电路 数字电路中往往用输入信号表示“条件”,用输出信号表示“结果”,而条件与结果之间的因果关系称为逻辑关系,能实现某种逻辑关系的数字电子电路称为逻辑门电路。 基本的逻辑关系有:与逻辑、或逻辑、非逻辑,与之相应的基本逻辑门电路有与门、或门、非门。 做一做:与逻辑、或逻辑和非逻辑 1.与门电路 (1)与逻辑关系 当一件事情的几个条件全部具备之后,这件事情才能发生,否则不发生。这样的因果关系称为与逻辑关系,也称为逻辑乘。 (2)与逻辑关系的表示 用逻辑函数表达式表示 Y =A ·B 或Y =AB 用真值表表示(将全部可能的输入组合及其对应的输出值用表格表示称之为真值表)

数字电路考题和答案解析

浙江理工大学2005-2006学年《脉冲与数字电路》期末考试(A) 专业:自动化04()姓名:________学号得分:_______ 一、填空(共20分,1分/空) 1、将二进制数(1010101.0011)2分别转换成下列进制数:十进制数; 八进制数;十六进制数。 2、TTL集成电路中多发射极输入级既完成了的逻辑功能,又提高了电路 的。 3、已知CD =,其反函数的最简与或表达式 L+ A B 为。 4、要组成容量为16K×32位的ROM,需要片容量为4K×8位的 ROM。 5、在下列JK触发器、RS 触发器、D触发器和T触发器四种触发器中,同时具有保持、置1、置0和翻转功能的触发器是。 6、逻辑函数式A A⊕等于。 7、寄存器按照功能不同可分为两类:只读寄存器和随机寄存器。 8、常见的脉冲产生电路有,常见的脉冲整形电 路、。 9、一个基本RS触发器在正常工作时,它的约束条件是1= R,则它不允许输入= S +S 且= R的信号。 10.常用的BCD码有、、、

等。 二、判断题(10分,1分/题) 1、若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。() 2、数电技术中用的8421码不是恒权码。() 3、逻辑函数两次求反则还原,逻辑函数的对偶式再作对偶变换也还原为它本身。() 4、TTL与非门的多余输入端可以接固定高电平。() 5、一般TTL门电路的输出端可以直接相连,实现线与。() 6、卡诺图是用图形来描述逻辑函数的一种方法。() 7、优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。() 8、环形计数器在每个时钟脉冲CP作用时,仅有一位触发器发生状态更新。() 9、施密特触发器有两个稳定的状态,但这两个状态依懒于输入信号的幅值。() 10、ROM存储器中的信息只能读出不能写入。

考研《数字电子技术》考试大纲

考研《数字电子技术》考试大纲 暨南大学2016考研《数字电子技术》考试大纲 Ⅰ、考查目标 1.考查考生对数字电路的基本概念和基本定理的理解程度; 2.考查考生应用数字电路的基本原理和方法对组合逻辑电路、时序逻辑电路进行分析和设计的能力; 3.考查考生对脉冲电路、A/D、D/A转换器工作原理的了解和对可编程逻辑器件的应用程度。 Ⅱ、考试形式和试卷结构 一、试卷满分及考试时间 本试卷满分为150分,考试时间为180分钟 二、答题方式 答题方式为闭卷、笔试 三、试卷内容结构 基础知识50分 电路分析和设计100分 四、试卷题型结构 单项选择题30分(10小题,每小题3分) 填空题10分(5个空,每空2分) 综合应用题110分 五、参考书:《数字电子技术基础》阎石,第四版,高等教育出版社 Ⅲ、考查范围 第一章逻辑代数基础 1、数制和码制、各码制之间的换算 2、逻辑代数中的基本运算和复合运算关系 3、逻辑代数中的基本公式和常用公式和三个基本定理 4、逻辑函数及其表示方法 5、逻辑函数的两种标准形式 6、逻辑函数的公式化简法 7、逻辑函数的卡诺图化简法 第二章门电路 1、TTL门电路 2、TTL反相器的电路结构和工作原理 3、TTL反相器的静态输入特性和输出特性 4、TTL门电路输入端的的动态特性 5、其他类型的TTL门电路 6、COMS反相器的工作原理 7、COMS反相器的静态输入和输出特性

8、其他类型的COMS门电路 第三章组合逻辑电路 1、组合逻辑电路的分析方法和设计方法 2、若干常用的组合逻辑电路的功能及应用 2.1编码器 2.2译码器 2.3数据选择器 2.4加法器 2.5数值比较器 第四章触发器 1、触发器的电路结构与动作特点 2、触发器的逻辑功能及其描述方法(各种触发器的特性表及特性方程) 3、不同逻辑功能的触发器之间的转换 第五章时序逻辑电路 1、时序逻辑电路的分析方法 1.1、同步时序逻辑电路的分析方法 1.2、时序逻辑电路的状态转换表、状态转换图和时序图 1.3、简单的异步时序逻辑电路的分析(通过画时序图分析电路的逻辑功能) 2、若干常用的时序逻辑电路的功能和应用 2.1寄存器和移位寄存器 2.2计数器 2.3顺序脉冲发生器 2.4序列信号发生器 3、同步时序逻辑电路的设计方法 第六章脉冲波形的产生和整形 1、施密特触发器电路、特性、应用 2、单稳态触发器电路、特性、应用 3、多谐振荡器电路、特性、应用 4、555定时器及其应用 4.1、555定时器的电路结构与功能 4.2、用555定时器接成的施密特触发器 4.3、用555定时器接成的单稳态触发器 4.4用555定时器接成的多谐触发器 第七章半导体存储器 7.1、只读存储器(ROM) 7.2、掩模只读存储器 7.3、可编程只读存储器(PROM) 7.4、可擦除的可编程只读存储器(EPROM) 7.5、随机存储器(RAM) 7.6、用存储器实现组合逻辑函数 第八章可编程逻辑器件 8.1、现场可编程逻辑阵列(FPLA) 8.2、可编程阵列逻辑(PLA)

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电路教案

皖西学院教案 学年第学期 课程名称数字电子技术 授课专业班级电气 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明

单元教案

分教案

从集成度不同 数字集成电路可分为小规模、中规模、大规模、超大规模和甚大规模五类。 、数字集成电路的特点 )电路简单,便于大规模集成,批量生产 )可靠性、稳定性和精度高,抗干扰能力强 )体积小,通用性好,成本低. )具可编程性,可实现硬件设计软件化 )高速度低功耗 )加密性好 、数字电路的分析、设计与测试 ()数字电路的分析方法 数字电路的分析:根据电路确定电路输出与输入之间的逻辑关系。 分析工具:逻辑代数。 电路逻辑功能主要用真值表、功能表、逻辑表达式和波形图。 () 数字电路的设计方法 数字电路的设计:从给定的逻辑功能要求出发,选择适当的逻辑器件,设计出符合要求的逻辑电路。 设计方式:分为传统的设计方式和基于软件的设计方式。 模拟信号与数字信号 . 模拟信号 时间和数值均连续变化的电信号,如 正弦波、三角波等 、数字信号 在时间上和数值上均是离散、幅值只有和两种状态的信号。 数字电路和模拟电路:工作信号,研究的对象不同,分析、设计方法以及所用的数学工具也相应不同

教学内容纲要备注、模拟信号的数字表示 由于数字信号便于存储、分析和传输,通常都将模拟信号转换为数字信 号. →模数转换。 数字信号的描述方法 、二值数字逻辑和逻辑电平 二值数字逻辑:、数码表示数量时称二进制数,表示事物状态时称二值逻 辑。 表示方式:、在电路中用低、高电平表示、两种逻辑状态 、数字波形 数字波形是信号逻辑电平对时间的图形表示。 比特率每秒钟转输数据的位数 ()数字波形的两种类型:归零型和非归零型 ()周期性和非周期性 ()实际脉冲波形及主要参数 ()时序图表明各个数字信号时序关系的多重波形图。 课后作业

数字电路考研康华光电子技术基础数字部分考研真题与笔记

数字电路考研康华光电子技术基础数字部分考研真题 与笔记 一、数电考研考点复习笔记 1.1 复习笔记 本章是《电子技术基础数字部分》的开篇,主要讲述了模拟信号和数字信号以及数字信号的描述方法,进而讨论了数制、二进制的算术运算、二进制代码和数字逻辑的基本运算,是整本教材的学习基础。笔记所列内容,读者应力求理解和熟练运用。 一、模拟信号与数字信号 1模拟信号和数字信号(见表1-1-1) 表1-1-1 模拟信号和数字信号 2数字信号的描述方法(见表1-1-2)

表1-1-2 数字信号的描述方法 3数字波形详细特征 (1)数字波形的两种类型见表1-1-3 表1-1-3 数字波形的类型 (2)周期性和非周期性 与模拟信号波形相同,数字波形亦有周期型和非周期性之分。周期性数字波形常用周期T和频率f来描述。脉冲波形的脉冲宽度用表示,所以占空比 (3)实际数字信号波形 在实际的数字系统中,数字信号并不理想。当从低电平跳变到高电平,或从高电平跳到低电平时,边沿没有那么陡峭,而要经历一个过渡过程。图1-1-1为非理想脉冲波形。

图1-1-1 非理想脉冲波形(4)波形图、时序图或定时图 波形图、时序图或定时图概述见表1-1-4。 表1-1-4 波形图、时序图或定时图概述 时序图和定时图区别与特征见表1-1-5。 表1-1-5 时序图、定时图特征 二、数制 1几种常用的进制(见表1-1-6) 表1-1-6 几种常用的进制

2进制之间的转换 (1)其他进制转十进制 任意一个其他进制数转化成十进制可用如下表达式表示: 其中R表示进制,Ki表示相应位的值。例如(二进制转十进制):(1011.01)2=1×23+0×22+1×21+1×20+0×2-1+1×2-2=(11.25)10。 (2)十进制转二进制 ①整数部分的转换:将十进制数除以2,取所余数为k0;将其商再除以2,取其余数为k1,……以此类推,直到所得商等于0为止,余数k n…k1k0(从下往上排)即为二进制数。以273.69为例,如图1-1-2所示。 ②小数部分的转换:将十进制数乘以2,取乘积的整数部分为k-1;将乘积的小数部分再乘以2,取乘积的整数部分为k-2,……以此类推,直到求出要求的位数

数字电路教案汇总

数字电路教案汇总

皖西学院教案2014 - 2015 学年第2学期 课程名称数字电子技术 授课专业班级电气1302-02 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明 课程类别总学分 3.5 总学时56 本学期学时教学周次周学时学时分配 56 14 4 讲授实验上机考查其他56 教学目的要求 在元器件学习的基础上,掌握数字电路的基础和逻辑门电路的基础知识;重点掌握组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法;掌握D/A和A/D转换以及脉冲波形的产生和整形电路;了解半导体存储器的基本概念和基本知识。 教学重点难点重点掌握逻辑门电路的基础知识,组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法。此部分内容也是该门课程的教学难点。 选用教材 电子技术基础(数字部分)康华光等(第五版),北京:高等教育出版社

主要参考资料1.清华大学电子学教研组,阎石主编,数字电子技术基础,第四版,北京,高等教育出版社,1998。 2.李士雄,丁康源主编,数字集成电子技术教程,北京:高等教育出版社,1993。 3.曹汉房,陈耀奎编著,数字技术教程,北京:电子工业出版社,1995。4.扬晖,张风言编著,大规模可编程逻辑器件与数字系统设计,北京:北京航空航天大学出版社,1998。 备注 单元教案 知识单元 主题 数字逻辑基础学时 教学内容(摘要)1.1 数字电路与数字信号 1.2 数制 1.3 二进制数的算术运算 1.4 二进制代码 1.5 二值逻辑变量与基本逻辑运算1.6 逻辑函数及其表示方法

(完整版)数字电路期末复习试题和答案解析

数字电路期末复习题及答案 一、填空题 1、数字信号的特点是在时间上和幅值上都是断续变化的,其高电平和低电平常用 1 和 0 来表示。 2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。 3、逻辑代数又称为布尔代数。最基本的逻辑关系有与、或、非三种。常用的几种导出的逻辑运算为与非或非与或非同或异或。 4、逻辑函数的常用表示方法有逻辑表达式真值表逻辑图。 5、逻辑函数F=A B C D+A+B+C+D= 1 。 6、逻辑函数F=AB A+ + += 0 。 B A B B A 7、O C门称为集电极开路门,多个O C门输出端并联到一起可实现线与功能。 8、T T L与非门电压传输特性曲线分为饱和区、转折区、线性区、截止区。 9、触发器有2个稳态,存储8位二进制信息要8个触发器。 10、一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S=0且R=0的信号。 11、一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是R S=0。 12、在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。 13、施密特触发器具有回差现象,又称电压滞后特性;单稳触发器最重 要的参数为脉宽。 14、半导体数码显示器的内部接法有两种形式:共阴接法和共阳接法。 15、对于共阳接法的发光二极管数码显示器,应采用低电平驱动的 七段显示译码器。 16、寄存器按照功能不同可分为两类:移位寄存器和数码寄存器。 17、时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时 序电路和异步时序电路。 二、选择题 1、一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 2、十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 3、以下表达式中符合逻辑运算法则的是D。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1

数字电子详细讲解

填空: 1.逻辑函数有四种表示方法,它们分别是(真值表、)、(逻辑图式)、(、逻 辑表达)和(卡诺图)。 2.将2011个“1”异或起来得到的结果是(0 )。 3.TTL器件输入脚悬空相当于输入(高)电平。 4.基本逻辑运算有: (与)、(或)和(非)运算。 5.采用四位比较器对两个四位数比较时,先比较(最高)位。 6.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 7.数字系统按组成方式可分为( 功能扩展电路) 、( 功能稳态电路) 两种; 8.两二进制数相加时,不考虑低位的进位信号是(半)加器。 9.时序逻辑电路的输出不仅和(该时刻输入变量的取值)有关,而且还与(该时刻电路 的状态)有关。 10.计数器按CP脉冲的输入方式可分为(同步计数器)和(异步计数器)。 11.触发器根据逻辑功能的不同,可分为(RS触发器)、(JK触发器)、(T触发器)、(T’ 触发器)、(D触发器)等。 12.根据不同需要,在集成计数器芯片的基础上,通过采用(反馈归零法),(预置数法), (进位输出置最小数法)等方法可以实现任意进制的技术器。 13.一个JK 触发器有(两)个稳态,它可存储(一)位二进制数。 14.若将一个正弦波电压信号转换成同一频率的矩形波,应采用(多谐振荡器)电路。 15.把JK触发器改成T触发器的方法是(J=K=T)。 16.N个触发器组成的计数器最多可以组成(2n)进制的计数器。 17.基本RS触发器的约束条件是RS=0 。 18.与非门的逻辑功能为(全1出0,有0出1)。 19.数字信号的特点是在(时间)上和(幅值)上都是断续变化的,其高电平 和低电平常用 1 和0 来表示。 20.三态门的“三态”指高电平,低电平和高阻状态。 21.逻辑代数的三个重要规则是代入规则、对偶规则、反演规则 22.为了实现高的频率稳定度,常采用石英晶体振荡器;单稳 态触发器受到外触发时进入暂稳态

数电考研阎石《数字电子技术基础》考研真题与复习笔记

数电考研阎石《数字电子技术基础》考研真题与复习 笔记 第一部分考研真题精选 第1章数制和码制 一、选择题 在以下代码中,是无权码的有()。[北京邮电大学2015研] A.8421BCD码 B.5421BCD码 C.余三码 D.格雷码 【答案】CD查看答案 【解析】编码可分为有权码和无权码,两者的区别在于每一位是否有权值。有权码的每一位都有具体的权值,常见的有8421BCD码、5421BCD码等;无权码的每一位不具有权值,整个代码仅代表一个数值。 二、填空题 1(10100011.11)2=()10=()8421BCD。[电子科技大学2009研] 【答案】163.75;000101100011.01110101查看答案 【解析】二进制转换为十进制时,按公式D=∑k i×2i求和即可,再由十进制数的每位数对应写出8421BCD码。 2数(39.875)10的二进制数为(),十六进制数为()。[重庆大学2014研] 【答案】100111.111;27.E查看答案

【解析】将十进制数转化为二进制数时,整数部分除以2取余,小数部分乘以2取整,得到(39.875)10=(100111.111)2。4位二进制数有16个状态,不够4位的,若为整数位则前补零,若为小数位则后补零,即(100111.111)2=(0010 0111.1110)2=(27.E)16。 3(10000111)8421BCD=()2=()8=()10=()16。[山东大学2014研] 【答案】1010111;127;87;57查看答案 【解析】8421BCD码就是利用四个位元来储存一个十进制的数码。所以可先将8421BCD码转换成10进制再进行二进制,八进制和十六进制的转换。(1000 0111)8421BCD=(87)10=(1010111)2 2进制转8进制,三位为一组,整数向前补0,因此(001 010 111)2=(127)8。同理,2进制转16进制每4位为一组,(0101 0111)2=(57)16。 4(2B)16=()2=()8=()10=()8421BCD。[山东大学2015研] 【答案】00101011;53;43;01000011查看答案 【解析】4位二进制数有16个状态,因此可以将一位16进制数转化为4位二进制数,得到(2B)16=(0010 1011)2;八进制由0~7八个数码表示,可以将一组二进制数从右往左,3位二进制数分成一组,得到(00 101 011)2=(53)8;将每位二进制数与其权值相乘,然后再相加得到相应的十进制数,(0010 1011)2=(43)10;8421BCD码是一种二进制的数字编码形式,用二进制编码的十进制代码。因此可以将每位二进制数转化为4位8421BCD码,(43)10=(0100 0011)8421BCD。

数字电路基础知识

第11章数字电路基础知识 教学重点: 1.掌握与门、或门、非门的逻辑功能及逻辑符号。 2.了解与或非门、同或门、异或门、OC门与三态门等复合门的逻辑功能和逻辑符号。3.掌握基本逻辑运算、逻辑函数的表示方法。 4.掌握逻辑代数的基本公式;熟练应用公式化简逻辑函数。 教学难点: 1.各种逻辑关系的含义。 2.用公式化简逻辑函数。 3.根据函数表达式画出逻辑图。 学时分配: 11.1数字电路概述 11.1.1 数字电路及其特点 电子线路中的电信号有两大类:模拟信号和数字信号。 1.概念 模拟信号:在数值上和时间上都是连续变化的信号。 数字信号:在数值上和时间上不连续变化的信号。 模拟电路:处理模拟信号的电路。 数字电路:处理数字信号的电路。 2.数字电路特点

(1) 电路中工作的半导体管多数工作在开关状态。 (2) 研究对象是电路的输入与输出之间的逻辑关系,分析工具是逻辑代数,表达电路的功能主要用真值表,逻辑函数表达式及波形图等。 11.1.2 数字电路的发展和应用 数字电路的发展:与器件的改进密切相关,集成电路的出现促进了数字电路的发展。 数字电路的应用:范围广泛,国民经济许多部门中都将大量应用数字电路。 11.2 基本逻辑门电路 各种逻辑门电路是组成数字电路的基本单元。 11.2.1 关于逻辑电路的几个规定 一、逻辑状态的表示方法 用数字符号0和1表示相互对立的逻辑状态,称为逻辑0和逻辑1。 表11.2.1 常见的对立逻辑状态示例 二、高、低电平规定 用高电平、低电平来描述电位的高低。 高低电平不是一个固定值,而是一个电平变化范围,如图11.2.1(a)所示。 单位用“V ”表示。 在集成逻辑门电路中规定 —— 标准高电平V SH —— 高电平的下限值; 标准低电平V SL —— 低电平的上限值。 应用时,高电平应大于或等于V SH ;低电平应小于或等于V SL 。 三、正、负逻辑规定 正逻辑:用1表示高电平,用0表示低电平的逻辑体制。 负逻辑:用1表示低电平,用0表示高电平的逻辑体制。 11.2.2 与门电路 基本的逻辑关系:与逻辑、或逻辑和非逻辑。 一、与逻辑 1.与逻辑关系 与逻辑关系如图11.2.2所示。当决定一件事情的几个条件全部具备后,这件事情才能发生,否则不发生。 图11.2.1 正逻辑和负逻辑

数字电路电子教案(打印版)

《数字电路》教案 序言 1.课程性质 《数字电子技术基础》课程是电气信息类专业具入门性质的重要的专业基础课。 2.课程目标 获得适应信息时代的数字电子技术方面的基本理论、基本知识和基本技能。培养分析和解决实际问题的能力,为以后深入学习数字电子技术及其相关学科和专业打好以下两方面的基础: 1、正确分析、设计数字电路,特别是集成电路的基础; 2、为进一步学习设计专用集成电路(ASIC)的基础。 3. 课程研究内容 数字信号传输、变换、产生等。内容涉及相关器件、功能电路及系统。 硬件处理数字信号的电子电路及其逻辑功能 数字电路的分析方法 数字电路的设计方法 各种典型器件在电子系统中的应用

软件系统分析、设计的软件工具——ABEL、VHDL、VerlogHDL、EDA工具软件QuartusII等 4.课程特点与学习方法 (1)课程特点 a、发展快 b、应用广 c、工程实践性强 摩尔定律:集成度按10倍/6年的速度发展。 (2)学习方法 打好基础、关注发展、主动更新、注重实践 a、掌握基本概念、基本电路和基本分析、设计方法 b、能独立的应用所学的知识去分析和解决数字电路的实际问题的能力。 5.主要教材及参考书 阎石主编《数字电子技术基础.》第四版高等教育出版社 蔡惟铮主编《基础电子技术》《集成电子技术》高等教育出版社郑家龙、王小海主编《集成电子技术基础教程》高等教育出版社电子工程手册编委会等编.中外集成电路简明速查手册-TTL、CMOS.电子工业出版社 王金明,杨吉斌编.《数字系统设计与VerliogHDL 》电子工业出

版社 罗杰、谭力编.《数字ASIC设计》讲义 第一章数字逻辑基础 1.1 数字电路与数字信号 1.1.1数字技术的发展及其应用 60~70代- IC技术迅速发展:SSI、MSI、LSI 、VLSI。10万个晶体管/片。 80年代后- ULSI ,1 0 亿个晶体管/片、ASIC 制作技术成熟 90年代后- 97年一片集成电路上有40亿个晶体管。 目前-- 芯片内部的布线细微到亚微米(0.13~0.09 m)量级,微处理器的时钟频率高达3GHz(109Hz) 将来- 高分子材料或生物材料制成密度更高、三维结构电路发展特点: 以电子器件的发展为基础 电子管时代 晶体管时代

相关主题
文本预览
相关文档 最新文档