当前位置:文档之家› MAX10 FPGA器件体系结构

MAX10 FPGA器件体系结构

MAX10 FPGA器件体系结构
MAX10 FPGA器件体系结构

MAX 10 FPGA器件体系结构

MAX 10器件包含下面组件:

?逻辑阵列模块(LAB)

?模数转换器 (ADC)

?用户闪存(UFM)

?嵌入式乘法器模块

?嵌入式存储器模块 (M9K)

?时钟和锁相环 (PLL)

?通用I/O

?高速LVDS I/O

?外部存储器接口

?配置闪存 (CFM)

? 2015 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are

trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as trademarks or service marks are the property of their respective holders as described at https://www.doczj.com/doc/6910151334.html,/common/legal.html. Altera warrants performance of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information, product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device specifications before relying on any published information and before placing orders for products or services.

ISO 9001:2008 Registered

https://www.doczj.com/doc/6910151334.html,

101 Innovation Drive, San Jose, CA 95134

图1: MAX 10器件的典型的器件平面规划

?每个模块的数量和位置根据每个MAX 10器件的不同而有所不同。?某些MAX 10器件可能不包含指定的模块。

相关链接

?MAX 10器件数据手册

提供了关于MAX 10器件的规范和性能的更多信息。?MAX 10 FPGA 器件概述

提供了关于器件中最大资源的更多信息。

逻辑阵列模块

LAB 是包含一组逻辑资源的可配置的逻辑模块。每个LAB 包含以下组件:?16个逻辑单元(LE)-MAX 10器件中的最小逻辑单元

?LE 进位链—进位链通过LAB 内的每个LE 串行地传播。

?LAB 控制信号—在一个LAB 内驱动LE 控制信号的专用逻辑。?本地互联—在相同的LAB 的LE 之间传输信号。

?

寄存器链—在LAB 中将一个LE 寄存器的输出传输到相邻的LE 寄存器。

2逻辑阵列模块

M10-ARCHITECTURE

2015.05.04

Altera 公司

图2: MAX 10器件的LAB 结构

Quartus ? II 编译器放置相关的逻辑在一个LAB 或相邻的多个LAB 中,允许使用本地和寄存器链连接以提高性能和面积效率。

LAB 互联

LAB 本地互联是由列和行互联以及在相同的LAB 中LE 的输出端驱动的。

直链互联功能最大限度地降低了行列互联的使用,从而提供了更高的性能和更大的灵活性。直链互联使左右相邻单元能够驱动LAB 的本地互联。这些单元为:?LAB ?PLL

?M9K 嵌入式存储器模块?

嵌入式乘法器

每个LE 通过本地和直链互联可以驱动多达48个LE 。

M10-ARCHITECTURE 2015.05.04

LAB 互联3

Altera 公司

反馈

图3: MAX 10器件的LAB 本地和直链互联

到右侧的直链互联

来自右侧LAB 、 M9K 存储器模块、嵌入式乘法器 PLL 或IOE 输出的直链互联

来自左侧LAB M9K PLL 或IOE 到左侧的直链互联

LEs

LAB 控制信号

每个LAB 都包含专用的逻辑以驱动控制信号各自LE 。控制信号包括:?两个时钟信号?两个时钟使能信号?两个异步清零信号?一个同步清零信号?

一个同步加载信号

4LAB 控制信号

M10-ARCHITECTURE

2015.05.04

Altera 公司

图4: MAX 10器件的LAB-Wide 控制信号

labclk2

labclk1

Dedicated LAB Row Clocks Local

Local

Local

Local

syncload

labclr2

表1: MAX 10器件的控制信号说明

您可以一次使用多达8个的控制信号。寄存器packing 和同步加载不能被同时使用。

M10-ARCHITECTURE 2015.05.04

LAB 控制信号5

Altera 公司

反馈

每个LAB 可以有多达四个的非全局控制信号。您可以使用其他的LAB 控制信号只要这些信号是全局信号。

一个LAB-wide 异步加载信号不可被用为寄存器的置位信号控制逻辑。寄存器预置是用NOT 门推回(push-back )的技术实现的。MAX 10器件仅支持预置或异步清零信号。

除了清零端口以外,MAX 10器件提供了一个对器件中所有寄存器进行复位的芯片全复位管脚(DEV_CLRn )。编译前,在Quartus II 中设置一个选项来控制此管脚。这一整个芯片的复位信号覆盖了所有其它控制信号。

逻辑单元

LE 是逻辑中的最小单位MAX 10系列器件架构。 LE 的结构紧凑,提供了先进的功能与效率的逻辑使用。

每个LE 有以下特性:?一个四口输入的查找表(LUT),以实现四种变量的任何功能?一个可编程的寄存器?一个进位链连接?一个寄存器链连接?

驱动以下互连的能力:

?本地?行?列

?寄存器链?直链

?寄存器packing 支持?寄存器反馈支持

LE 特性

LE 包含使能多种功能的输入、输出和寄存器。

6逻辑单元

M10-ARCHITECTURE

2015.05.04

Altera 公司

图5: MAX 10器件的LE 高级结构图

data 1data 2data 3data 4

Register Chain

LE 输入

在两种LE 操作模式(正常模式和算术模式中) LE 具有6个可用输入。每个输入被连接到不同的目的地,以实现所需的逻辑功能。LE 输入是:?LAB 本地互连的四个数据输入

?来自前一个LE 进位链的LE 进位输入(carry-in)?一个寄存器链连接LE 输出

每个LE 具有三个输出,分别是:

?驱动列或行以及直链布线连接的两个LE 输出?驱动本地互连资源的一个LE 输出

MAX 10器件支持寄存器packing 功能。使用寄存器packing 功能时,LUT 或寄存器可以支持3个独立的输出。通过将寄存器和LUT 用于独立的功能,这一特性提高了器件的利用率。LAB-wide 同步加载控制信号在使用寄存器packing 时是不可用的。

M10-ARCHITECTURE 2015.05.04

LE 特性7

Altera 公司

反馈

寄存器链输出

每个LE 均有一个寄存器链输出,使得同一个LAB 中的寄存器能够级联在一起。通过支持以下功能,这一特性提高了LAB 之间的连接速度,并优化本地互连资源:?LUT 用于组合功能

?寄存器用于一个不相关的移位寄存器实现可编程寄存器

您可以在D 、T 、JK 或SR 触发器操作中对每个LE 配置可编程的寄存器。每个寄存器具有以下输入:

?时钟—可以由使用全局时钟网络、通用I/O 管脚或内部逻辑的信号驱动?清零—可以由使用全局时钟网络、通用I/O 管脚或内部逻辑的信号驱动?时钟使能—可以由通用I/O 管脚或内部逻辑驱动

对于组合逻辑功能,LUT 输出旁路寄存器并且直接驱动到LE 输出。寄存器反馈

寄存器反馈模式允许寄存器输出反馈到同一个LE 的LUT 。这样确保寄存器与自己的扇出LUT 一起配套,从而提供另一种机制以改进布局布线。LE 也可以驱动LUT 输出存储与未存储的版本。

LE 操作模式

MAX 10器件中的LE 在两种模式中操作。?正常模式?算术模式

这些操作模式以不同的方式使用LE 资源。两个LE 模式均有六个可用输入和LAB-wide 信号。Quartus II 软件自动为普通功能(例如计数器、加法器、减法器和算术功能),以及参数化功能(如参数化模块库(LPM)功能)选择相应的模式。

您也可以创建特用功能以指定哪一个LE 操作模式用于性能优化。正常模式

正常模式适用于一般的逻辑运用和组合功能。

正常模式中,来自LAB 本地互联的4个数据输入口输入到一个四口输入的LUT 中。Quartus II 编译器自动选择carry-in (cin)或data3信号作为到LUT 的其中一个输入。LE 在正常模式中支持套包寄存器和寄存器的反馈。

8LE 操作模式

M10-ARCHITECTURE

2015.05.04

Altera 公司

图6: MAX 10器件的正常模式中的LE 操作

Register Chain Connection

Register Chain Output

Row, Column, and Direct Link Routing 算术模式

算术模式对于加法器,计数器,累加器和比较器的实现是理想的。

LE 在算术模式中实现一个2位全加器和基本进位链。LE 在算术模式中可以驱动LUT 输出存储与未存储的版本。寄存器反馈和寄存器packing 都支持LE 用于算术模式。

M10-ARCHITECTURE 2015.05.04

算术模式9

Altera 公司

反馈

图7: MAX 10器件的算术模式ALM

data2

data1data4

data3

进位链(Carry Chain)

Quartus II 编译器在设计处理期间自动创建进位链逻辑。您也可以在设计输入期间手动创建进位链逻辑。参数化功能如LPM 功能,自动优化进位链于合适的功能中。Quartus II 编译器通过同一列中自动链接LAB 创建长过16个LE 的进位链。

为了增强布局,一条长进位链纵向运行,通过直接链接互联实现迅速横向连接到M9K 存储器模块或嵌入式乘法器。例如,如果一个设计有一条长进位链在一LAB 列中与一列M9K 存储器模块紧挨着,那么任何LE 输出通过直接链接互联都可以连到相邻的M9K 存储器模块上。

如果进位链横向运行,任何不与M9K 存储器模块列紧挨的LAB 使用其它的行或列互联以驱动一个M9K 存储器模块。一条进位链可以连接整列。

模数转换器

MAX ? 10器件具有多达两个模数转换器(ADC)。ADC 提供 MAX 10 器件内置性能,以实现片上温度监控和外部模拟信号转换。

ADC 解决方案包括 MAX 10器件外围中的硬核IP 模块以及Altera Modular ADCIP 内核中的软逻辑。

ADC 解决方案提供了内置性能,可以将模拟量转换成数字数据,以用于信息处理、计算、数据传输和控制系统。基本功能是提供一个12 bit 数字表示观测到的模拟信号。ADC 解决方案在两种模式中运行:

10模数转换器

M10-ARCHITECTURE

2015.05.04

Altera 公司

?正常模式—监控每秒1兆符(Msp)的累计采样率的18个单端外部输入?温度感应模式—监控每秒50千符(ksps)采样率的内部温度数据输入。图8: MAX 10 器件中的ADC 硬核IP 模块

ADC Analog Input (Dual Function) [16:1]

ADC V REF REF

相关链接

MAX 10模数转换器用户指南

ADC 模块位置

ADC 模块位于 MAX 10 器件外围的左上角。

M10-ARCHITECTURE 2015.05.04

ADC 模块位置11

Altera 公司

反馈

图9: 10M04和10M08器件中的ADC

模块位置

I/O Bank

ADC Block

图10: 10M16器件中的ADC 模块位置

I/O Bank ADC Block

12ADC 模块位置

M10-ARCHITECTURE

2015.05.04

Altera 公司

图11: 10M25、 10M40和10M50器件中的ADC 模块位置

这些器件的封装E144仅具有一个ADC 模块。

I/O Bank ADC Block

用户闪存

Altera MAX 10 器件具有存储非易失性信息的用户闪存(UFM)模块。UFM 是 MAX 10器件中内部闪存的一部分。

MAX 10器件的UFM 体系结构是软核和硬核IP 的组合。您仅可以使用Quartus II 中的Altera 片上闪存IP 内核访问UFM 。图12: Altera 片上闪存IP 结构图

M10-ARCHITECTURE 2015.05.04

用户闪存13

Altera 公司

反馈

该IP模块具有两个Avalon-MM从控制器:

?数据—对闪存提供读和写访问的UFM模块的封装。

?控制—闪存的CSR和状态寄存器,仅用于写操作。

相关链接

MAX 10用户闪存(UFM)用户指南

嵌入式乘法器

MAX 10器件对于数字信号处理应用程序支持高达144个嵌入式乘法器模块。

图13: 与LAB

相邻的按列排列的嵌入式乘法器

Embedded

Multiplier

1 LAB

Row

通过使用MAX 10器件的嵌入式乘法器,可以实现乘法加法器和乘法累加器功能。这一功能的乘

法器部分由嵌入式乘法器来实现,而加法器或者累加器功能则在逻辑单元(LE)中实现。

相关链接

MAX 10嵌入式乘法器用户指南

9位乘法器

通过配置每一个嵌入式乘法器,以支持最多9位输入位宽的两个9 × 9独立乘法器。

14嵌入式乘法器M10-ARCHITECTURE

2015.05.04 Altera公司

图14: 9-Bit 乘法器模式

Data A 0 [8..0]

Data B 0 [8..0]

Data Out 0 [17..0]

Data A 1 [8..0]

Data B 1 [8..0]

Data Out 1 [17..0]

所有的9-bit 乘法器输入数据与结果均被独立地发送至寄存器。乘法器输入数据可以是有符号整数、无符号整数,或者两者的组合。

每一个嵌入式乘法器模块只有一个signa 信号和一个signb 信号,用于控制模块输入数据的符号表示。如果嵌入式乘法器有两个9 × 9乘法器,那么以下操作适用:?两个乘法器的Data A 输入管脚使用相同的signa 信号?两个乘法器的Data B 输入共享相同的signb 信号

18位乘法器

通过配置每一个嵌入式乘法器,来支持10到18位输入宽的单一18 x 18乘法器。

M10-ARCHITECTURE 2015.05.04

18位乘法器15

Altera 公司

反馈

图15: 18-Bit 乘法器模式

Data A [17..0]

Data B [17..0]

Data Out [35..0]

所有的18位乘法器输入数据与结果均被独立地发送至寄存器。另外,您也可以动态修改signa 与signb 信号,并且通过专用的输入寄存器发送这些信号。

嵌入式存储器

MAX 10嵌入式存储器模块被优化,以用于诸如高吞吐量数据包处理、嵌入式处理器编程和嵌入式数据存储的应用配置。

MAX 10嵌入式存储器结构包含9,216-bit(包括奇偶校验位) 模块。每个M9K 模块可用于不同的宽度并且可以被配置成提供以下存储器功能:?单端口RAM ?简单双端口RAM ?真双端口RAM ?单端口ROM ?双端口ROM

?移位寄存器(shift-register)?FIFO

?

基于存储器的乘法器

相关链接

MAX 10嵌入式存储器用户指南

16嵌入式存储器

M10-ARCHITECTURE

2015.05.04

Altera 公司

时钟网络和PLL

MAX 10器件支持全局时钟网络(GCLK)和锁相环(PLL)。

时钟网络对内核提供时钟源。您可以在高扇出全局信号网络中使用时钟网络,如复位和清除。PLL 对器件时钟管理、外部系统时钟管理以及I/O 接口时钟提供了可靠的时钟管理与综合。

相关链接

MAX 10时钟网络和PLL 用户指南

全局时钟网络

GCLK 驱动整个器件,并对器件各象限提供时钟。器件中的所有资源(I/O 单元、逻辑阵列模块(LAB)、专用乘法器模块以及M9K 存储器模块)都能够将GCLK 用作时钟资源。

这些全局网络资源可用于控制信号,例如:由外部管脚驱动的时钟使能及清零信号。内部逻辑也可以驱动GCLK ,以实现内部生成的GCLK 和异步清零、时钟使能或其它的高扇出控制信号。图16: 10M02、10M04和10M08器件的GCLK

网络资源

CLK[0,1][p,n]

CLK[2,3][p,n]

M10-ARCHITECTURE 2015.05.04

时钟网络和PLL

17

Altera 公司

反馈

图17: 10M16、10M25、10M40和10M50器件的GCLK 网络资源

CLK[0,1][p,n]

CLK[2,3][p,n]

CLK[4,5][p,n]

18全局时钟网络

M10-ARCHITECTURE

2015.05.04

Altera 公司

PLL模块和位置

图18: MAX 10PLL高级结构图

每一个时钟源均可来自位于器件同一侧作为PLL的两个或四个中的任何时钟管脚。

(2)

GCLK

networks 注释:

(1) 这是VCO后缩放计数器K。

(2) 只有PLL1和PLL3 的计数器C0可以驱动ADC时钟。

PLL的作用是同步相位和VCO的频率到输入参考时钟。

下图显示了PLL的物理位置。每个索引表示器件中的一个PLL。PLL的物理位置对应于Quartus II

Chip Planner中的坐标。

M10-ARCHITECTURE

2015.05.04PLL模块和位置19

Altera公司反馈

图19: 10M02器件的PLL 位置

注释:

(1) 位于除V36封装以外的所有封装。(2) 仅位于U324和V36封装。

图20: 10M04和10M08器件的PLL

位置

注释:

(1) 位于除V81封装以外的所有封装。

(2) 仅位于F256、F484、U324和V81封装。

20PLL 模块和位置

M10-ARCHITECTURE

2015.05.04

Altera 公司

电子元器件行业现状

1、电子元器件行业现状 我国电子元件的产量已占全球的近39%以上。产量居世界第一的产品有:电容器、电阻器、电声器件、磁性材料、压电石英晶体、微特电机、电子变压器、印制电路板。 伴随我国电子信息产业规模的扩大,珠江三角洲、长江三角洲、环渤海湾地区、部分中西部地区四大电子信息产业基地初步形成。这些地区的电子信息企业集中,产业链较完整,具有相当的规模和配套能力。 我国电子材料和元器件产业存在一些主要问题:中低档产品过剩,高端产品主要依赖进口;缺乏核心技术,产品利润较低;企业规模较小,技术开发投入不足。 2、电子元器件行业发展趋势 技术发展趋势 新型元器件将继续向微型化、片式化、高性能化、集成化、智能化、环保节能方向发展。 市场需求分析 随着下一代互联网、新一代移动通信和数字电视的逐步商用,电子整机产业的升级换代将为电子材料和元器件产业的发展带来巨大的市场机遇。 我国“十一五”发展重点 我国《电子基础材料和关键元器件“十一五”专项规划》重点强调新型元器件、新型显示器件和电子材料作为主要分产业的发展目标。 注:上表所列信息与数据引自商务部网站、国研网、统计局网站 3、阿里巴巴关于“电子元器件”买家分布情况 在alibaba买家分布中,广东、浙江、江苏买家数占78%,其市场开发潜力巨大。 4、阿里巴巴电子元器件企业概况

目前通过阿里巴巴搜索“电子元器件”有43533310条产品供应信息,这些企业中有很多实现了从做网站、做推广、找买家,谈生意、成交等一站式的业务模式。当前有效求购“电子元器件”的信息已达到50536条(数据截止2008-10-23)。 阿里巴巴部分电子元器件行业企业 公司名称合作年限公司名称合作年限深圳市百拓科技有限公司 3 靖江市柯林电子器材厂 6 深圳赛格电子市场广发电子经营部 4 乐清市东博机电有限公司 6 镇江汉邦科技有限公司7 温州祥威阀门有限公司 6 无锡市国力机电工程安装有限公司 5 上海纳新工业设备有限公司 6 深圳市恒嘉乐科技有限公司 6 天津市天寅机电有限公司科技 开发分公司 6 厦门振泰成科技有限公司 6 常州市武进坂上继电器配件厂 6 5、同行成功经验分享 公司名:佛山市禅城区帝华电子五金制品厂——一个“很有想法”的诚信通老板主营产品:16型电位器;开关电位器;调光电位器;调速电位器;直滑式电位器等加入诚信通年限:第4年 佛山市禅城区帝华电子五金制品厂的董仁先生是一个“很有想法”的老板,虽然公司成立的时间不长,但是有很多经营理念。董先生是很健谈的人,据他介绍,帝华电子是以生产进口碳膜电位器和五金批咀的专业厂家,加入阿里巴巴诚信通已有两年时间。对于加入诚信通的目的,董先生的解释比较独特:“我们的产品属于电子设备及家用电器的元器件,和终端消费者没有直接的联系,就是把我们的产品扔两箱在大街上,扫大街的都没人要。而且我们的销售方式和普通厂家也不太一样,我们在国际国内都有销售办事处,同时还采用配套享受的形式。因此,我们加入诚信通并不是希望直接获得订单,而是想通过阿里巴巴的巨大知名度来提升我们公司的知名度,要让相关客户都知道中国有我们这样一个生产进口碳膜电位器和五金批咀的专业厂家。” 对于经营管理上的困难,董先生直言不讳:“当然,我们现在也遇到不少的困难,最困扰我的两个主要问题一是运输物流,二是生产。到现在我还没找到值得信赖和长期合作的物流公司,公司产品的运输经常得不到保证。现在阿里巴巴的网络交易渠道和交易方式已经很完善,我们也迫切希望阿里巴巴能提供物流服务。另一方面,最关键是生产上的问题,我们的生产往往赶不上订单的速度,这两个问题我正在努力解决中。” 对于公司今后的长远发展,“我们现在还属于生产元器件的厂家,随着公司的壮大,今后我们还将向半成品和终端消费品发展,我希望我们能形成终端消费品和相关的配套产业一条龙生产。”董先生显得踌躇满志。

Xilinx FPGA 引脚功能详细介绍

XilinxFPGA引脚功能详细介绍 注:技术交流用,希望对大家有所帮助。 IO_LXXY_# 用户IO引脚 XX代表某个Bank内唯一的一对引脚,Y=[P|N]代表对上升沿还是下降沿敏感,#代表bank号 2.IO_LXXY_ZZZ_# 多功能引脚 ZZZ代表在用户IO的基本上添加一个或多个以下功能。 Dn:I/O(在readback期间),在selectMAP或者BPI模式下,D[15:0]配置为数据口。在从SelectMAP读反馈期间,如果RDWR_B=1,则这些引脚变成输出口。配置完成后,这些引脚又作为普通用户引脚。 D0_DIN_MISO_MISO1:I,在并口模式(SelectMAP/BPI)下,D0是数据的最低位,在Bit-serial模式下,DIN是信号数据的输入;在SPI模式下,MISO是主输入或者从输出;在SPI*2或者SPI*4模式下,MISO1是SPI总线的第二位。 D1_MISO2,D2_MISO3:I,在并口模式下,D1和D2是数据总线的低位;在SPI*4模式下,MISO2和MISO3是SPI总线的MSBs。 An:O,A[25:0]为BPI模式的地址位。配置完成后,变为用户I/O口。 AW AKE:O,电源保存挂起模式的状态输出引脚。SUSPEND是一个专用引脚,AWAKE 是一个多功能引脚。除非SUSPEND模式被使能,AWAKE被用作用户I/O。 MOSI_CSI_B_MISO0:I/O,在SPI模式下,主输出或者从输入;在SelectMAP模式下,CSI_B是一个低电平有效的片选信号;在SPI*2或者SPI*4的模式下,MISO0是SPI总线的第一位数据。 FCS_B:O,BPI flash 的片选信号。 FOE_B:O,BPI flash的输出使能信号 FWE_B:O,BPI flash 的写使用信号 LDC:O,BPI模式配置期间为低电平 HDC:O,BPI模式配置期间为高电平 CSO_B:O,在并口模式下,工具链片选信号。在SPI模式下,为SPI flsah片选信号。 IRDY1/2,TRDY1/2:O,在PCI设计中,以LogiCORE IP方式使用。 DOUT_BUSY:O,在SelectMAP模式下,BUSY表示设备状态;在位串口模式下,DOUT 提供配置数据流。 RDWR_B_VREF:I,在SelectMAP模式下,这是一个低电平有效的写使能信号;配置完成后,如果需要,RDWR_B可以在BANK2中做为Vref。 HSW APEN:I,在配置之后和配置过程中,低电平使用上拉。 INIT_B:双向,开漏,低电平表示配置内存已经被清理;保持低电平,配置被延迟;在配置过程中,低电平表示配置数据错误已经发生;配置完成后,可以用来指示POST_CRC 状态。 SCPn:I,挂起控制引脚SCP[7:0],用于挂起多引脚唤醒特性。 CMPMOSI,CMPMISO,CMPCLK:N/A,保留。 M0,M1:I,配置模式选择。M0=并口(0)或者串口(1),M1=主机(0)或者从机(1)。 CCLK:I/O,配置时钟,主模式下输出,从模式下输入。 USERCCLK:I,主模式下,可行用户配置时钟。 GCLK:I,这些引脚连接到全局时钟缓存器,在不需要时钟的时候,这些引脚可以作为常规用户引脚。 VREF_#:N/A,这些是输入临界电压引脚。当外部的临界电压不必要时,他可以作为

xilinxFPGASelectIO模块

5.1.6 SelectIO模块 Virtex-6每个I/O片(I/O Tile)包含两个IOB、两个ILOGIC、两个OLOGIC 和两个IODELAY,如图5-24 所示。 图5-24 I/O 片结构图 本小节就以下几个方面介绍Virtex-6 的SelectIO 资源。 (1) SelectIO 的电气特性。 (2) SelectIO 的逻辑资源——ILOGIC 资源和OLOGIC 资源。 (3) SelectIO 的高级逻辑资源——ISERDES 资源、OSERDES 资源和Bitslip。 一、SelectIO IO 的电气特性 所有的Virtex-6 FPGA 有高性能的可配置SelectIO 驱动器与接收器,支持非常广泛的接口标准。强大的功能SelectIO 包括输出强度和斜率的可编程控制以及使用数控阻抗(DCI)的片上终端。

IOB 包含输入、输出和三态SelectIO 驱动器。支持单端I/O 标准(LVCMOS、 HSTL、SSTL)和差分I/O 标准(LVDS、HT、LVPECL、BLVDS、差分HSTL 和SSTL)。 注意:差分输入和VREF 相关输入由VCCAUX 供电。 IOB、引脚及部逻辑的连接如图5-25 所示。 图5-25 IOB、引脚及部逻辑连接图 IOB 直接连接ILOGIC/OLOGIC 对,该逻辑对包含输入和输出逻辑资源,可实现数据和三态控制。ILOGIC和OLOGIC可分别配置为ISERDES和OSERDES。Xilinx软件库提供了大量与I/O相关的原语,在例化这些原语时,可以指定I/O 标准。与单端I/O相关的原语包括IBUF(输入缓冲器)、IBUFG(时钟输入缓冲器)、OBUF(输出缓冲器)、OBUFT(三态输出缓冲器)和IOBUF(输入/输出缓冲器)。与差分I/O相关的原语包括IBUFDS(输入缓冲器)、IBUFGDS (时钟输入缓冲器)、OBUFDS(输出缓冲器)、OBUFTDS(三态输出缓冲器)、IOBUFDS(输入/输出缓冲器)、IBUFDS_DIFF_OUT(输入缓冲器)和IOBUFDS_DIFF_OUT(输入/输出缓冲器)。 二、SelectIO的逻辑资源 SelectIO的逻辑资源主要是指ILOGIC和OLOGIC资源,它们完成了FPGA引脚到部逻辑的连接功能,包括组合输入/输出、三态输出控制、寄存器输入/输出、寄存器三态输出控制、DDR输入/输出、DDR输出三态控制、IODELAYE1高分辨率可调整延迟单元及其控制模块。 下面简要介绍ILOGIC和OLOGIC功能。

常用电子元件实物图片大全

常用电子元件实物图片大全 概述 一、元件:工厂在加工时没改变原材料分子成分的产品可称为元件,元件属于不需 要能源的器件。它包括:电阻、电容、电感。(又称为被动元件Passive Components) 元件分为: 1、电路类元件:二极管,电阻器等等 2、连接类元件:连接器,插座,连接电缆,印刷电路板(PCB) 图1 二、器件:工厂在生产加工时改变了原材料分子结构的产品称为器件 器件分为: 1、主动器件,它的主要特点是:(1)自身消耗电能(2)需要外界电源。 2、分立器件,分为(1)双极性晶体三极管(2)场效应晶体管(3)可控硅 (4)半导体电阻电容电阻

电阻在电路中用"R”加数字表示,如:R1表示编号为1的电阻.电阻在电路中的主要作用为:分流、限流、分压、偏置等. 图2 电容 电容在电路中一般用"C"加数字表示(如C13表示编号为13的电容).电容是由两片金属膜紧靠,中间用绝缘材料隔开而组成的元件.电容的特性主要是隔直流通交流. 电容的容量大小表示能贮存电能的大小,电容对交流信号的阻碍作用称为容抗,它与交流信号的频率和电容量有关. 图3 晶体二极管 晶体二极管在电路中常用“D”加数字表示,如: D5表示编号为5的二极管. 作用:二极管的主要特性是单向导电性,也就是在正向电压的作用下,导通电阻很小;而在反向电压作用下导通电阻极大或无穷大. 因为二极管具有上述特性,无绳电话机中常把它用在整流、隔离、稳压、极性保护、编码控

制、调频调制和静噪等电路中. 图4 电感器 电感器在电子制作中虽然使用得不是很多,但它们在电路中同样重要。我们认为电感器和电容器一样,也是一种储能元件,它能把电能转变为磁场能,并在磁场中储存能量。电感器用符号L表示,它的基本单位是亨利(H),常用毫亨(mH)为单位。它经常和电容器一起工作,构成LC滤波器、LC振荡器等。另外,人们还利用电感的特性,制造了阻流圈、变压器、继电器等。 图5 组合电路 集成电路是一种采用特殊工艺,将晶体管、电阻、电容等元件集成在硅基片上而形成的具有一定功能的器件,英文缩写为IC,也俗称芯片。 模拟集成电路是指由电容、电阻、晶体管等元件集成在一起用来处理模拟信号的模拟集成电路。有许多的模拟集成电路,如集成运算放大器、比较器、对数和指数放大器、模拟乘(除)法器、锁相环、电源管理芯片等。模拟集成电路的主要构成电路有:放大器、滤波器、反

Xilinx_FPGA中文教程

Spartan-3E Starter Kit Board User Guide

Chapter 1: Introduction and Overview Chapter 2: Switches, Buttons, and Knob Chapter 3: Clock Sources Chapter 4: FPGA Configuration Options Chapter 5: Character LCD Screen Chapter 6: VGA Display Port Chapter 7: RS-232 Serial Ports Chapter 8: PS/2 Mouse/Keyboard Port Chapter 9: Digital to Analog Converter (DAC) Chapter 10: Analog Capture Circuit Chapter 11: Intel StrataFlash Parallel NOR Flash PROM Chapter 12: SPI Serial Flash Chapter 13: DDR SDRAM Chapter 14: 10/100 Ethernet Physical Layer Interface Chapter 15: Expansion Connectors Chapter 16: XC2C64A CoolRunner-II CPLD Chapter 17: DS2432 1-Wire SHA-1 EEPROM

Chapter 1:Introduction and Overview Spartan-3E 入门实验板使设计人员能够即时利用Spartan-3E 系列的完整平台性能。 设备支持设备支持::Spartan-3E 、CoolRunner-II 关键特性关键特性::Xilinx 器件: Spartan-3E (50万门,XC3S500E-4FG320C), CoolRunner?-II (XC2C64A-5VQ44C)与Platform Flash (XCF04S-VO20C) 时钟时钟::50 MHz 晶体时钟振荡器 存储器: 128 Mbit 并行Flash, 16 Mbit SPI Flash, 64 MByte DDR SDRAM 连接器与接口: 以太网10/100 Phy, JTAG USB 下载,两个9管脚RS-232串行端口, PS/2类型鼠标/键盘端口, 带按钮的旋转编码器, 四个滑动开关,八个单独的LED 输出, 四个瞬时接触按钮, 100管脚hirose 扩展连接端口与三个6管脚扩展连接器 显示器: VGA 显示端口,16 字符- 2 线式 LCD 电源电源::Linear Technologies 电源供电,TPS75003三路电源管理IC 市场: 消费类, 电信/数据通信, 服务器, 存储器 应用: 可支持32位的RISC 处理器,可以采用Xilinx 的MicroBlaze 以及PicoBlaze 嵌入式开发系统;支持DDR 接口的应用;支持基于Ethernet 网络的应用;支持大容量I/O 扩展的应用。 Choose the Starter Kit Board for Your Needs Spartan-3E FPGA Features and Embedded Processing Functions Spartan3-E FPGA 入门实验板具有Spartan3-E FPGA 系列突出独特的特点和为嵌入式处理发展与应用提供了很大的方便。该板的特点如下: Spartan3-E 特有的特征:并行NOR Flash 配置;通过并行NOR Flash PROM 实现FPGA 的多种配置方式 嵌入式系统:MicroBlaze? 32-bit 嵌入RISC 处理器;PicoBlaze? 8-bit 嵌入控制器;DDR 存储器接口 Learning Xilinx FPGA, CPLD, and ISE Development Software Basics Spartan3-E FPGA 入门实验板比其他的入门实验板先进、复杂。它是学习FPGA 或CPLD 设计和怎样运用ISE 软件的基础。 Advanced Spartan-3 Generation Development Boards 入门实验板示范了MicroBlaze? 32-bit 嵌入式处理器和EDK 的基本运用。其更先进的地方

Xilinx-FPGA配置的一些细节

Xilinx FPGA配置的一些细节 2010年07月03日星期六 14:26 0 参考资料 (1) Xilinx: Development System Reference Guide. dev.pdf, v10.1 在Xilinx的doc目录下有。 (2) Xilinx: Virtex FPGA Series Configuration and Readback. XAPP138 (v2.8) March 11, 2005 在Xilinx网站上有,链接 (3)Xilinx: Using a Microprocessor to Configure Xilinx FPGAs via Slave Serial or SelectMAP Mode.XAPP502 (v1.5) December 3, 2007 在Xilinx网站上有,链接 注:此外xapp139和xapp151也是和配置相关的。 (4)Xilinx: Virtex-4 Configuration Guide. UG071 (v1.5) January 12, 2007 (5) Tell me about the .BIT . 链接: 1 Xilinx配置过程 主要讲一下Startup Sequence。 Startup Sequence由8个状态组成. 除了7是固定的之外,其它几个的顺序是用户可设置的,而且Wait for DCM和DCI是可选的。 其中默认顺序如下: 这些在ISE生成bit文件时通过属性页设定。 这几个状态的具体含义如下: Release_DONE : DONE信号变高 GWE : 使能CLB和IOB,FPGA的RAMs和FFs可以改变状态 GTS : 激活用户IO,之前都是高阻。

常用电子元件的检测方法概述

常用电子元件的检测方法概述 1

常见电子元件的检测方法 元器件的检测是家电维修的一项基本功,如何准确有效地检测元器件的相关参数,判断元器件的是否正常,不是一件千篇一律的事,必须根据不同的元器件采用不同的方法,从而判断元器件的正常与否。特别对初学者来说,熟练掌握常见元器件的检测方法和经验很有必要,以下对常见电子元器件的检测经验和方法进行介绍供对考。 一、电阻器的检测方法与经验: 1固定电阻器的检测。 A将两表笔(不分正负)分别与电阻的两端引脚相接即可测出实际电阻值。为了提高测量精度,应根据被测电阻标称值的大小来选择量程。由于欧姆挡刻度的非线性关系,它的中间一段分度较为精细,因此应使指针指示值尽可能落到刻度的

中段位置,即全刻度起始的20%~80%弧度范围内,以使测量 更准确。根据电阻误差等级不同。读数与标称阻值之间分别允许有±5%、±10%或±20%的误差。如不相符,超出误差范围,则说明该电阻值变值了。 B?注意:测试时,特别是在测几十kΩ以上阻值的电阻时,手不 要触及表笔和电阻的导电部分;被检测的电阻从电路中焊下来,至少要焊开一个头,以免电路中的其它元件对测试产生影响,造成测量误差;色环电阻的阻值虽然能以色环标志来确定, 但在使用时最好还是用万用表测试一下其实际阻值。 2水泥电阻的检测。检测水泥电阻的方法及注意事项与检测 普通固定电阻完全相同。 3熔断电阻器的检测。在电路中,当熔断电阻器熔断开路后,可根据经验作出判断:若发现熔断电阻器表面发黑或烧焦,可断 定是其负荷过重,经过它的电流超过额定值很多倍所致;如果 其表面无任何痕迹而开路,则表明流过的电流刚好等于或稍 大于其额定熔断值。对于表面无任何痕迹的熔断电阻器好坏的判断,可借助万用表R×1挡来测量,为保证测量准确,应将熔 断电阻器一端从电路上焊下。若测得的阻值为无穷大,则说 3

中国电子元器件行业概况研究-行业发展概况、市场供求

中国电子元器件行业概况研究-行业发展概况、市场供求 (一)行业发展概况 电子元器件行业作为电子信息产业的重要组成部分,是国民经济战略性、基础性和先导性的支柱产业。电子元器件包括电子元件和电子器件,从20世纪90年代起,广泛应用于消费电子、家用电器、通讯设备、汽车电子、计算机等领域;目前,随着移动智能终端、云计算、物联网、大数据的兴起,其应用领域扩展至虚拟现实、智能穿戴、智能家居、物联网等新兴应用领域。 受益于人口红利和智能设备普及需求,中国市场已成为全球最重要的电子元器件制造基地和消费市场。自2000年以来,凭借较为显著的成本和后发优势,中国逐渐成为世界电子行业相关产品的主要生产基地,目前已成为全球最主要的电子产品制造基地和电子产品出口大国;在中国国民经济增长强劲、工业现代化程度加深及居民消费水平升级的带动下,中国电子元器件产业得到了较为充分地发展。 据中国产业研究报告数据显示,目前,中国电子元器件产业总产值约占电子信息产业的五分之一,电子元器件产业已成为支撑中国电子信息产业发展的重要基础。

根据国家统计局及智研咨询数据显示,2015年中国电子元件制造业的产值及销售收入分别增长至15,931.72亿元、15,355.45亿元,分别同比增长4.86%、4.14%;2016年分别增长至17,848.40亿元、17,362.32亿元,增长率高达12.03%、13.07%。2015年电子器件制造业的产量及销售收入规模分别达16,041.79亿元、15,354.81亿元,同比增长6.31%、5.15%;而2016年分别增至17,940.96亿元、17,361.22亿元,同比增速分别达11.84%、13.07%。由此可见,2016年中国电子元器件市场持续保持高速增长的态势。

xilinxfpga引脚功能详细介绍

注:技术交流用,希望对大家有所帮助。 IO_LXXY_# 用户IO引脚 XX代表某个Bank内唯一的一对引脚,Y=[P|N]代表对上升沿还是下降沿敏感,#代表bank 号 2.IO_LXXY_ZZZ_# 多功能引脚 ZZZ代表在用户IO的基本上添加一个或多个以下功能。 Dn:I/O(在readback期间),在selectMAP或者BPI模式下,D[15:0]配置为数据口。在从SelectMAP读反馈期间,如果RDWR_B=1,则这些引脚变成输出口。配置完成后,这些引脚又作为普通用户引脚。 D0_DIN_MISO_MISO1:I,在并口模式(SelectMAP/BPI)下,D0是数据的最低位,在Bit-serial模式下,DIN是信号数据的输入;在SPI模式下,MISO是主输入或者从输出;在SPI*2或者SPI*4模式下,MISO1是SPI总线的第二位。 D1_MISO2,D2_MISO3:I,在并口模式下,D1和D2是数据总线的低位;在SPI*4模式下,MISO2和MISO3是SPI总线的MSBs。 An:O,A[25:0]为BPI模式的地址位。配置完成后,变为用户I/O口。 AWAKE:O,电源保存挂起模式的状态输出引脚。SUSPEND是一个专用引脚,AWAKE是一个多功能引脚。除非SUSPEND模式被使能,AWAKE被用作用户I/O。 MOSI_CSI_B_MISO0:I/O,在SPI模式下,主输出或者从输入;在SelectMAP模式下,CSI_B是一个低电平有效的片选信号;在SPI*2或者SPI*4的模式下,MISO0是SPI总线的第一位数据。 FCS_B:O,BPI flash 的片选信号。 FOE_B:O,BPI flash的输出使能信号 FWE_B:O,BPI flash 的写使用信号 LDC:O,BPI模式配置期间为低电平 HDC:O,BPI模式配置期间为高电平 CSO_B:O,在并口模式下,工具链片选信号。在SPI模式下,为SPI flsah片选信号。 IRDY1/2,TRDY1/2:O,在PCI设计中,以LogiCORE IP方式使用。

电子元件手工焊接基础及过程概述

电子元件手工焊接基础及过程概述 本文主要介绍了手工焊接基础知识以及在焊接过程中需要注意的各项问题,旨在帮助操作手工焊接的技术人员有效掌握并理解手工焊接的基础,搞清楚芯片在焊接过程中容易造成损坏的原因。 随着电子元器件的封装更新换代加快,由原来的直插式改为了平贴式,连 接排线也由FPC软板进行替代,元器件电阻电容经过了1206,0805,0603,0402后已向0201平贴式,BGA封装后已使用了蓝牙技术,这无一例外的 说明了电子发展已朝向小型化、微型化发展,手工焊接难度也随之增加,在焊接当中稍有不慎就会损伤元器件,或引起焊接不良,所以我们的一 线手工焊接人员必须对焊接原理,焊接过程,焊接方法,焊接质量的评 定,及电子基础有一定的了解。 一、焊接原理: 锡焊是一门科学,他的原理是通过加热的烙铁将固态焊锡丝加热熔化,再借助于助焊剂的作用,使其流入被焊金属之间,待冷却后形成牢固可靠的焊接点。当焊料为锡铅合金焊接面为铜时,焊料先对焊接表面产生润湿,伴随着润湿现象的发生,焊料逐渐向金属铜扩散,在焊料与金属铜的接触面形成附着层,使两则牢固的结合起来。所以焊锡是通过润湿、扩散和冶金结合这三个物理,化学过程来完成的。 1.润湿:润湿过程是指已经熔化了的焊料借助毛细管力沿着母材金属表面细微的凹凸和结晶的间隙向四周漫流,从而在被焊母材表面形成附着层,使焊料与母材金属的原子相互接近,达到原子引力起作用的距离。(图1所示)。 引起润湿的环境条件:被焊母材的表面必须是清洁的,不能有氧化物或污染物。形象比喻:把水滴到荷花叶上形成水珠,就是水不能润湿荷花。把水滴到棉花上,水就渗透到棉花里面去了,就是水能润湿棉花。 2.扩散:伴随着润湿的进行,焊料与母材金属原子间的相互扩散现象开始发生。通常原子在晶格点阵中处于热振动状态,一旦温度升高。原子活动加剧,使熔化的焊料与母材中的原子相互越过接触面进入对方的晶格点阵,原子的移动速度与数量决定于加热的温度与时间。(图二所示)。 3. 冶金结合:由于焊料与母材相互扩散,在2种金属之间形成了一个中间层---金属化合物,要获得良好的焊点,被焊母材与焊料之间必须形成金属化合物,从而使母材达到牢固的冶金结合状态。(图三所示)

xilinx fpga开发实用教程——fpga结构

1.2.1 FPGA工作原理与简介 如前所述,FPGA是在PAL、GAL、EPLD、CPLD等可编程器件的基础上进一步发展的产物。它是作为ASIC领域中的一种半定制电路而出现的,即解决了定制电路的不足,又克服了原有可编程器件门电路有限的缺点。 由于FPGA需要被反复烧写,它实现组合逻辑的基本结构不可能像ASIC那样通过固定的与非门来完成,而只能采用一种易于反复配置的结构。查找表可以很好地满足这一要求,目前主流FPGA都采用了基于SRAM工艺的查找表结构,也有一些军品和宇航级FPGA采用Flash或者熔丝与反熔丝工艺的查找表结构。通过烧写文件改变查找表内容的方法来实现对FPGA的重复配置。 根据数字电路的基本知识可以知道,对于一个n输入的逻辑运算,不管是与或非运算还是异或运算等等,最多只可能存在2n种结果。所以如果事先将相应的结果存放于一个存贮单元,就相当于实现了与非门电路的功能。FPGA的原理也是如此,它通过烧写文件去配置查找表的内容,从而在相同的电路情况下实现了不同的逻辑功能。 查找表(Look-Up-Table)简称为LUT,LUT本质上就是一个RAM。目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有 4位地址线的的RAM。当用户通过原理图或HDL语言描述了一个逻辑电路以后,PLD/FPGA开发软件会自动计算逻辑电路的所有可能结果,并把真值表(即结果)事先写入 RAM,这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出即可。 下面给出一个4与门电路的例子来说明LUT实现逻辑功能的原理。 例1-1:给出一个使用LUT实现4输入与门电路的真值表。 表1-1 4输入与门的真值表 从中可以看到,LUT具有和逻辑电路相同的功能。实际上,LUT具有更快的执行速度和更大的规模。 由于基于LUT的FPGA具有很高的集成度,其器件密度从数万门到数千万门不等,可以完成极其复杂的时序与逻辑组合逻辑电路功能,所以适用于高速、高密度的高端数字逻辑电路设计领域。其组成部分主要有可编程输入/输出单元、基本可

简谈Altera和Xilinx的FPGA区别

简谈Altera和Xilinx的FPGA区别 大家好,又到了每日学习的时间了,最近有很多人再问我学习FPGA到底是选择Altera的还是xilinx的呢,于是我就苦口婆心的说了一大堆,中心思想大概就是,学习FPGA一定要学习FPGA的设计思想以及设计原理,不要纠结于单一的实验平台或者操作软件,因为你想在这个行业越走越高的话,广度和深度都是要有所了解的,初期学习的时候尤其注重动手,选择一款操作平台以及操作软件是为了让你更好的去动手做,而不是让你在这款软件或者实验平台去做文章,因为不懂原理的话,换个环境你同样是什么都不明白。尤其是现在的科技公司产品更新升级换代还比较快,要学会去掌握最核心的知识点才是王道。下面,就我自己接触,咱们就来简单聊聊Altera和Xilinx的FPGA 区别,欢迎大家一起交流,三人行,必有我师,共同学习,共同进步。对于Altera和Xilinx 的FPGA,本人认为可以分为两个方面去比较一下,基本逻辑资源和内部基本架构。从目前企业中做开发使用的广泛性来说,Xilinx占得比重确实是大一些,但是从其他方面来说,比如价格,相对而言Altera的便宜些。对于两者的特点,Xilinx的短线资源非常丰富,这样在实现的时候,布线的成功率很高,尤其是逻辑做得比较满的时候。而Altera的FPGA 的短线资源经常不够用,经常要占用LE来充当布线资源,这也是为什么Altera的FPGA 可以便宜的原因,资源少些当然便宜,但是如果你是高手,也能把他的性能发挥得很好。另外就是关于块RAM,Xilinx的双口RAM是真的,Altera的没有双口RAM,如果你要实现真正的双口RAM,只能用两块RAM来背靠背地实现,这样你的RAM资源就少了一半,如果你的应用对片内双口RAM的需求很重要,用Altera的就划不来。下面咱们就从我刚才说的基本逻辑资源和内部基本架构这两个方面来聊聊。 1.基本逻辑资源基本的逻辑资源我建议大家可以去看看两家的芯片做个比较,今天时间有限就不给各位详谈了,通过比较你会发现我上面说的还是有点道理的。 2.内部基本架构从1985年Xilinx公司推出第一片FPGA到现在,FPGA的使用已经有近30年的历史了。目前主流市场的FPGA主要还是Xilinx和Altera两大系列,下面分别来介绍下它们各自的基本结构组成。 Xilinx的FPGA主要由以下单元结构组成:可配置

Xilinx_FPGA下载烧写教程

Step1 学习下载配置Xilinx 之FPGA 配合Mars-EDA 的Spartan2 核心板,用图文方式向大家详细讲述如何下载配置Xilinx 的FPGA。 FPGA 下载模式说明 S1 为 FPGA 下载模式选择跳线,M0,M1,M2 默认状态为通过 4.7K 电阻上拉到 3.3V,当用跳线帽短接 S1 的PIN3 和PIN6 将置 M0 为0,同理,短接 PIN2 和PIN5,PIN3 和PIN4 将置 M1,M2 为0。M0,M1,M2 的电平和 FPGA 下载之间的关系参见下图:(Boundary-scan mode)是调试最常用的 JTAG 模式。当采用板载 PROM 时,采用的跳线模式是 Master Serial Mode –短路 PIN1 和PIN6,PIN2 和PIN5 J2 为Slave Serial Mode 的接口。下载模式跳线 S1 短接PIN1 和PIN6,PIN2 和PIN5 即可。 J3 为 JTAG Mode 的接口。下载模式跳线短接 PIN1 和 PIN6 即可。

S2 跳线说明 1.通过 JTAG 方式烧录 FPGA:短接 PIN1 和PIN3。 2.通过 JTAG 方式烧录 PROM:短接 PIN3 和PIN5,PIN2 和PIN4. 3.通过菊花链下载 FPGA 和烧录 PROM:短接 PIN3 和PIN5,PIN4 和PIN6. 下面我们利用 Spartan2 核心板介绍一下通过 JTAG 菊花链方式下载 FPGA 和烧录 PROM. 硬件跳线选择: 1.跳线 S1: 此时要求 M0 M1 M2 = 100, 设置 FPGA 的下载方式为 Boundary-scan mode, 此时将 M1 和M2 的跳线安上。 跳线 S2 : 通过菊花链下载 FPGA 和烧录 PROM:短接 PIN3 和 PIN5,PIN4 和 PIN6. 2.将 Xilinx 下载线和核心板上 JTAG mode 接口 J3 相连,连接到计算机并口。核心板上电。

电子元件基础知识试题

电子元件基础知识试题 姓名:分数: 一、填空(每空1分共60分) 1.电阻是在电路中起作用的一段。 2.电感是应用原理用导线一圈靠一圈饶制而成的元件。 3.电阻在电路中的作用:。 4.电解电容一般以脚的长短确定正负极,通常长脚为短脚为。 5.电阻的单位:电容的单位:电感的单位:。 6.二极管根据半导体材料可分为:和。 7.晶体二极管的主要作用有:等。 8.三极管有三个电极:,按材料分和两种,而每一种又有型和型两种。 9.通常三极管在电路中起和两种作用。 10.变压器的主要参数有:。 11.继电器在电路中具有:和的作用。 12.请画出下列电子元件的代表符号: 电阻;电容:电感:变压器: 二极管:三极管: 13.请写出下列大写字母所代表的电子元件。 L R D C T IC 14.单位换算: 1Ω= KΩ= mΩ1H=mH=μH 1F=mF=μF=nF=pF 15.请写出下列色环电阻和无极性电容的值及误差。 蓝灰红金红红橙棕棕棕黑黄红黄绿灰棕金682K 103J 259G 二、判断题(每题1分共10分) 1.色环电阻的表示方法是:每一色环代表一位有效数字。() 2.变压器有变换电压和变换阻抗的作用。() 3.二极管和三极管在电路上的作用相同。() 4.电感的单位是用大写字母L表示。() 5.电子元件在检验时只要功能OK,外观无关紧要。() 6.发光二极管(LED)通常情况下脚长的为负极,脚短的为正极。( ) 7.我厂生产的M-800型和ET-1000型电动胶纸机使用的是直流电。() 8.使用在调谐回路上的电感线圈对Q值要求较高。() 9.两根长度相同的铜线,粗的一根电阻值较大。() 10.贴片电容是没有极性的。() 三、问答题(共30分)

XilinxFPGA引脚功能详细介绍

Xilinx FPGA 引脚功能详细介绍注:技术交流用,希望对大家有所帮助。 IO_LXXY_# 用户IO 引脚 XX 代表某个Bank 内唯一的一对引脚,Y=[P|N] 代表对上升沿还是下降沿敏感,#代表bank 号2. IO_LXXY_ZZZ_# 多功能引脚 ZZZ 代表在用户IO 的基本上添加一个或多个以下功能。 Dn:I/O (在readback期间),在selectMAP或者BPI模式下,D[15:0]配置为数据口。在从SelectMAP 读反馈期间,如果RDWR_B=1 ,则这些引脚变成输出口。配置完成后,这些引脚又作为普通用户引脚。 DO_DIN_MISO_MISO1 : I,在并口模式(SelectMAP/BPI )下,D0 是数据的最低位,在Bit-serial 模式下,DIN 是信号数据的输入;在SPI 模式下,MISO 是主输入或者从输出;在SPI*2 或者SPI*4 模式下,MISO1 是SPI 总线的第二位。 D1_MISO2,D2_MISO3 : I,在并口模式下,D1和D2是数据总线的低位;在SPI*4模式下,MISO2 和MISO3 是SPI 总线的MSBs。 An:O,A[25:0] 为BPI 模式的地址位。配置完成后,变为用户I/O 口。 AWAKE : O,电源保存挂起模式的状态输出引脚。SUSPEND是一个专用引脚,AWAKE 是一个多功能引脚。除非SUSPEND 模式被使能,AWAKE 被用作用户I/O。 MOSI_CSI_B_MISOO : I/O,在SPI模式下,主输出或者从输入;在SelectMAP模式下, CSI_B 是一个低电平有效的片选信号;在SPI*2 或者SPI*4 的模式下,MISO0 是SPI 总线 的第一位数据。 FCS_B:O,BPI flash 的片选信号。 FOE_B:O,BPI flash 的输出使能信号 FWE_B :O,BPI flash 的写使用信号 LDC :O,BPI 模式配置期间为低电平 HDC:O,BPI 模式配置期间为高电平 CSO_B: O,在并口模式下,工具链片选信号。在SPI模式下,为SPI flsah片选信号。 IRDY1/2,TRDY1/2 : O,在PCI 设计中,以LogiCORE IP 方式使用。 DOUT_BUSY :O,在SelectMAP模式下,BUSY表示设备状态;在位串口模式下,DOUT 提供配置数据流。 RDWR_B_VREF : I,在SelectMAP模式下,这是一个低电平有效的写使能信号;配置完成后,如果需要,RDWR_E可以在BANK2中做为Vref。 HSWAPEN : I,在配置之后和配置过程中,低电平使用上拉。 INIT_B :双向,开漏,低电平表示配置内存已经被清理;保持低电平,配置被延迟;在配置过程中,低电平表示配置数据错误已经发生;配置完成后,可以用来指示POST_CRC 状态。 SCPn:I,挂起控制引脚SCP[7:0],用于挂起多引脚唤醒特性。 CMPMOSI , CMPMISO , CMPCLK : N/A,保留。 M0 , M1 : I,配置模式选择。M0=并口(0)或者串口(1), M仁主机(0)或者从机(1 )。 CCLK : I/O,配置时钟,主模式下输出,从模式下输入。 USERCCLK : I,主模式下,可行用户配置时钟。 GCLK : I,这些引脚连接到全局时钟缓存器,在不需要时钟的时候,这些引脚可以作为常规用户引脚。 VREF_# : N/A,这些是输入临界电压引脚。当外部的临界电压不必要时,他可以作为 普通引脚。当做作bank 内参考电压时,所有的VRef 都必须被接上。 3. 多功能内存控制引脚 M#DQn :I/O , bank#内存控制数据线D[15:0] M#LDQS : I/O , bank#内存控制器低数据选通脚

XilinxFPGA引脚功能详细介绍

X i l i n x F P G A引脚功能 详细介绍 IMB standardization office【IMB 5AB- IMBK 08- IMB 2C】

XilinxFPGA引脚功能详细介绍注:技术交流用,希望对大家有所帮助。 IO_LXXY_#用户IO引脚 XX代表某个Bank内唯一的一对引脚,Y=[P|N]代表对上升沿还是下降沿敏感,#代表bank号 2.IO_LXXY_ZZZ_#多功能引脚 ZZZ代表在用户IO的基本上添加一个或多个以下功能。 Dn:I/O(在readback期间),在selectMAP或者BPI模式下,D[15:0]配置为数据口。在从SelectMAP读反馈期间,如果RDWR_B=1,则这些引脚变成输出口。配置完成后,这些引脚又作为普通用户引脚。 D0_DIN_MISO_MISO1:I,在并口模式(SelectMAP/BPI)下,D0是数据的最低位,在Bit-serial模式下,DIN是信号数据的输入;在SPI模式下,MISO是主输入或者从输出;在SPI*2或者SPI*4模式下,MISO1是SPI总线的第二位。 D1_MISO2,D2_MISO3:I,在并口模式下,D1和D2是数据总线的低位;在SPI*4模式下,MISO2和MISO3是SPI总线的MSBs。 An:O,A[25:0]为BPI模式的地址位。配置完成后,变为用户I/O口。 AWAKE:O,电源保存挂起模式的状态输出引脚。SUSPEND是一个专用引脚,AWAKE是一个多功能引脚。除非SUSPEND模式被使能,AWAKE被用作用户I/O。 MOSI_CSI_B_MISO0:I/O,在SPI模式下,主输出或者从输入;在SelectMAP模式下,CSI_B是一个低电平有效的片选信号;在SPI*2或者SPI*4的模式下,MISO0是SPI总线的第一位数据。 FCS_B:O,BPIflash的片选信号。

我与赛灵思FPGA的故事” ZYNQ-7000使用总结(1)——概述

"30年---我与赛灵思FPGA的故事”: ZYNQ-7000使用总结(1)——概述 2015-01-21 15:07 3496人阅读评论(0) 举报分类: FPGA(15) 由allan于星期四, 06/19/2014 - 17:03 发表 因为马上要离职的原因,需要将一些东西整理一下做交接。就将Xilinx ZYNQ-7000的使用经验做一下总结,希望对刚接触的人有一点帮助。需要说明的是,在接触到ZYNQ-7000之前,我并没有做过FPGA的设计,这一部分的基础可以说是零。而这一年的工作重心也并不是FPGA设计,所以这一系列文章的重点是工具的使用,以及ZYNQ-7000的设计流程,而不是具体如何设计。该系列文章的硬件平台是:ZC702(XC7Z020-1CLG484CES )。所用软件包括:PlanAhead、Xilinx Platform Studio、Xilinx Software Development Kit,版本均为14.4。串口工具为Tera Term。 ZYNQ-7000是Xilinx推出的一款全可编程片上系统(All Programmable SoC),该芯片集成了ARM Cortex A9双核与FPGA,所以ZYNQ是一款SoPC芯片。其架构如下图:

图中的Processing System(一般简称为PS)即为处理器(ARM Cortex A9 MPCore) 部分,里面资源非常的丰富,具体可参看Xilinx官方文档。Programmable Logic(一般简称为PL)即可编程部分(FPGA),该部分的资源随SoC芯片级别高低不同而不同,最低的是Artix-7(Zynq-7010和Zynq-7020),最高的是Kintex-7(Zynq-7030和Zynq-7045)。当然,后续可能SoC中的FPGA会使用更高的Virtex系列,这个就不得而知了。 PS和PL的关系:

相关主题
文本预览
相关文档 最新文档