当前位置:文档之家› 正弦信号发生器设计

正弦信号发生器设计

正弦信号发生器设计
正弦信号发生器设计

正弦信号发生器设计

摘要 :本系统由FPGA、单片机控制模块、键盘、LCD液晶显示屏、DAC输出电路和末级放大电路构成。仅用单片FPGA就实现了直接数字频率合成技术(DDS),产生稳幅正弦波,并在数字域实现了AM、FM、ASK、PSK等四类调制信号。调制信号既可由用户输入参数由FPGA内部生成,也可以从外部输入。整个系统结构紧凑,电路简单,功能强大,可扩展性强。

Abstract: This system is composed by FPGA, MCU controller, keyboard, LCD, DAC and amplifier modules. The DDS, Direct Digital Synthesizer, which is implemented by a unique FPGA IC, can provide the stable sine signal with digital AM, FM, ASK, PSK modulation. The modulation signal can be provided NOT only by FPGA, which will receive parameters from user, but also from external input. This system features in compact module, simple circuit, powerful functions and flexible expansion.

一、方案论证与比较

根据题目要求,基本部分需要实现正弦波信号发生,而发挥部分主要需要实现信号调制。

1. 正弦信号输出方案

方案一:

采用专用信号发生器。MAX038是美信公司的低失真单片信号发生器集成电路,内部电路完善。使用该芯片,设计简单,可以生成同一频率信号的各种波形信号,但频率精确度和稳定度都难以达到要求。

方案二:

采用直接数字合成(Direct Digital Synthesizer)方案。DDS 的原理框图如图1-1所示。。

图1-1 DDS原理框图

DDS技术频率分辨率高、转换速度快、信号纯度高、相位可控、输出信号无电流脉冲叠加、输出可平稳过渡且相位可保持连续变化。

方案论证

从题目要求来看,上述两种方案都可以满足题目合成频率范围的要求,但信号发生器产生的频率稳定度、精确度都不如DDS合成的频率;另一方面,DDS 较信号发生器更容易精确控制,所以我们选择DDS方案进行频率合成。

2. 信号调制方案

方案一:

采用AD公司的DDS专用芯片AD9851合成FM和AM的载波,采用传统的模拟调制方式来实现AM和FM调制。但这种方案的缺点是需要额外的模拟调制FM和AM调制的电路,且模拟调制电路难免引入一定的干扰,而且此方案中PSK的调制也不好实现。

方案二:

采用AD9851合成FM和AM的载波,将FM调制信号离散化形成数字信号,使FM调制的频率偏移通过改变AD9851的频率字来实现。这种设计方案减少了FM调制过程中引入的干扰,也大大简化了FM调制电路的设计。但是AM调制还是需要模拟乘法器,而PSK的调制也需要额外的电路。

方案三:

采用AD公司的AD9856作为调制芯片。AD9856是内含DDS的正交调制芯片,可以实现多进制的数字幅度调制,多进制的数字相位调制和和多进制的数字幅度相位联合调制。AM,PSK和ASK调制都可以通过它实现。但是AD9856不便于调频,且控制复杂。

方案四:

采用FPGA+DAC来实现DDS。这样通过FPGA在数字域实现频率合成然后通过DAC形成信号波形。由于信号都是由FPGA在数字域进行处理,可以很方便的将FM和AM等调制在数字域实现。所有调制电路的功能都由FPGA片内的数字逻辑电路来实现,整个系统的电路设计大为简化,同时由于数字调制避免了模拟调制带来的误差和干扰,大大提高了调制的性能,而且硬件电路设计的软件化,使得电路设计的升级改进工作大为简化。但是此方案由于受到FPGA接口速度和DAC转换速度的约束,载频只能做到15M左右。

上述方案中,方案四的电路最为精简,调制性能也最好。虽然载频只能做到15M,但是已经达到了本题发挥部分的指标要求,所以选择方案四来实现信号的调制。

二、总体设计

1. 总体设计

(1)系统框图如图2-1

图2-1 总体设计框图

2)单片机小系统

单片机小系统由键盘,LCD显示屏,和凌阳单片机SPEC061A构成。负责用户的交互和整个系统的控制。

(3)DDS及调制电路模块

DDS及调制电路模块由FPGA和DAC构成。FPGA负责在数字域实现正弦波(载频)的合成、FM和AM调制信号(经过离散化的)的合成产生ASK和PSK的调制信号并完成ASK、PSK的调制和FM、AM调制,然后控制DAC输出波形。

(4)滤波及放大电路

滤波电路是采用美信的高速运放MAX4108设计的一个有源二阶低通滤波器,用以去除DDS合成信号固有的高次谐波成分,同时有2倍放大器的功能。信号放大电路采用AD公司的高速运放AD811,使输出信号的幅度能达到发挥部分的要求(V opp在5V~7V之间)。

(5)电源设计

高速DAC对模拟数字地之间的串扰十分敏感。模拟数字地之间的串扰对DAC输出信号的波形影响很大。故本系统采用一个线性电源对模拟电路供电,采用一个开关电源对数字电路供电,模拟地和数字地之间通过一个磁珠相连。这种设计实现了模拟数字电路尽可能大的隔离。实践证明,数字部分和模拟部分独立供电对信号质量有很大的改善作用。

2. 理论分析与参数设计

(1)载频参数计算

本题要求:输出频率范围是1KHz~10MHz,频率步进是100Hz,频率稳定度优于10-4,信号波形无明显失真。

要求DDS合成的信号波形无明显失真,那么一般要求一个信号周期内要插值16个点,而合成频率最高要求为10M,那么需要的FPGA和DAC接口数据传送率为:

10M ×16 =160 MWord/S

这种数据传输率有一定风险,由于高速信号的不完整性,可能导致DAC数据错误。为解决波形失真和传输率间的矛盾,我们选择了MAX5858A,它是双路10位300Msps DAC,内部含有4x/2x/1x的插值低通滤波电路。在其最大输出速率时,如果使用其4x插值,则数据传输率为:

300MWord/S ÷4 =75MWord/S

DDS输出的正弦波每秒钟有75M个插值点,并在DAC内部完成4阶插值和数字低通滤波,最后转化成实际电压输出。这样既抑制了高频段输出正弦波信号可能的失真,又降低了数据接口的传输速率,提高了系统可靠性。

系统频率调整的步进是100Hz。DDS逻辑电路的工作时钟是75M,所以:75M ÷100 =750000 (小于2的20次方)

所以,DDS的频率字只要多于20个bit,频率调整的步进就能小于100Hz。本系统采用的频率控制字为32bit,充分满足频率调整步进的要求。

本系统的工作时钟由晶振提供的时钟通过FPGA内的锁相环3倍频得到。而DAC内部插值4倍频。而晶振的频率稳定度为10-6量级,所以整体频率稳定度:10-6×3×4=1.2×10-5,在10-5量级,满足题目要求。

(2)AM调制参数设计

本题要求:产生1KHz的正弦调制信号;调制度在10%和100%之间程控调节,步进10%。

系统采用一个10bit的控制寄存器来保存调制度。其离散间隔为1/1024,高于步进10%的要求。调制度可以由用户自行设置,也可以用按键以1%或10%步进调整。

本系统中,正弦调制信号的频率并是不固定于1KHz,而是可以由用户随意设定,由一个独立的DDS产生,其频率范围由1Hz到10MHz(注:调制信号的带宽是受到载频的限制的,载频最高只有10MHz,调制信号的频率不能太大。实际上,AM一般用于话音调制,所以其频率一般不会超过5KHz)。

(3)FM调制参数设计

本题要求:产生1KHz的正弦调制信号;调频产生最大频偏为5kHz/10kHz 两级程控调节。

系统产生的正弦调制信号的频率也可以由用户随意设定(与AM调制相同)。最大频偏扩展为5kHz/10kHz/20kHz三档。

(4)ASK/PSK调制参数设计

本题要求:产生码速率为10kbps的二进制基带序列信号,载波频率为100kHz。

ASK、PSK调制和AM,FM调制共用一套本振(即合成正弦信号的DDS 模块),所以通过设定频率控制字可设置载波频率为需要的100kHz。而码率为10kbps二进制基带序列信号则可以通过预置好的移位寄存器循环移位获得。

(5)滤波电路参数计算

本题要求:输出最大频率为10MHz的正弦波。

由于最终方案采用DAC输出,而DAC的转换频率为75MHz,故需要一个截止频率在10MHz和75MHz之间的低通滤波器。然而DAC的转换并不是理想的,输出信号的谐波干扰主要集中在二次谐波,所以我们选取截止频率为18MHz 的有源二阶巴特沃兹低通滤波器,来保证达到题目要求。

(6)放大电路参数计算

本题要求:输出的正弦信号在接50Ω负载时能有6V的峰峰值。

DAC(包括电流-电压转换)输出只有约1V的峰峰值,故在后级需要进行电压放大。我们使用了具有两倍电压增益的滤波器,在滤波的同时进行两倍的电压放大,然后再使用一片具有较大带载能力的运放做三倍电压放大,为了避免出现自激,实际中采用三倍反相放大。

3. 扩展创新设计

(1)单片FPGA实现双路正弦信号发生器

由于本系统在实现完题中所有基本和发挥的指标要求后,FPGA还剩余了大量的资源,而且MAX5858A是双通路的DAC,所以在完成题目所有要求的基础上,我们又扩展了一路信号发生器,除了不作幅度放大之外,其余所有性能指标和前一通道(通道1)完全一样,而且同样可以进行AM,FM,ASK和PSK调制。

(2)扩展外部调制方式

本题要求自制调试信号进行AM,FM,ASK和PSK调制。我们的第二项扩展就是在通道2的AM,FM,ASK和PSK调制全部增加外部调制方式。调制信号由外部输入。其中,ASK和PSK调制信号的输入是由用户通过键盘输入要调制的二进制基带序列;而FM和AM调制信号则由用户从外部输入,由单片机的AD进行采样,然后将采样值发给调制电路(由FPGA内部的数字电路实现)进行调制输出。

三、软件设计

设计目的:

接收用户键盘输入的数据(信号参数的预制、选择和步进)和控制指令,控制液晶显示,向FPGA发送数据及控制指令,控制AD采样外输入信号(扩展外调制功能)。

设计思路:

根据题目要求,本软件设计了4级菜单结构及友好提示界面(键盘输入,液晶显示)。用户可通过键盘选择菜单项设置输出信号的参数(频率、调制度、频偏等),或选择输出信号(正弦波、模拟调幅波、模拟调频波等)。当用户选择输出时,程序把设置的参数送至FPGA,并对FPGA发出控制命令使其输出需要的信号。当用户选择外输入模拟调制时程序控制AD采样外输入信号,转换到合适的范围后发送至FPGA.

图3-1 软件流程图

图3-2菜单控制流程

四、数字逻辑设计

本系统的所有数字逻辑电路都由FPGA实现。FPGA选用的是Altera公司的Cyclone系列FPGA――EP1C3T144C8。此芯片有LE约3000个,片内RAM有52Kbits。

FPGA的数字逻辑电路负责在数字域实现FM和AM调制信号(经过离散化的)的频率合成和生成ASK和PSK的调制信号(仅通道一有上述功能),正弦波(载频)的频率合成,完成ASK,PSK,FM,AM在数字域的调制(两个通道都具有上述功能),然后控制DAC形成正确的波形信号。

整个逻辑设计的框图如图4-1。

图4-1 整个逻辑设计框图

PSK调制的实现:本系统中PSK调制是通过调制信号延时作异或运算然后经过DPSK调制来间接实现PSK调制,而DPSK的调制是通过将DDS相位累加器的相位步进瞬时设置为2π来实现反相。

FM调制通过给正弦波(载频)的频率字叠加一个偏移频率字来实现频率的偏移;AM调制直接在FPGA内用数字乘法器实现;ASK调制直接用开关选通载频信号或是截断载频信号,由于DAC中零电平的量化字是512,所以零电平是常数512。

五、电路设计

根据总体方案设计,硬件电路应分为,控制模块(单片机开发板)、信号产

生模块(FPGA 开发板)、DAC 模块、滤波部分和放大部分。

控制模块:

控制模块主要由凌阳单片机SPEC061和与之相关的LCD 显示以及键盘组成。我们使用了一块无字符点阵液晶,其型号为“TS12864A-2”。参照其数据手册,实际连接如图5-1。

图5-1 液晶与单片机的连接

信号产生模块:

整个系统的信号生成采用数字方式在FPGA 中实现,因此信号产生模块(FPGA 开发板)是整个系统的核心,我们采用了一块以前自行设计的EP1C3T144开发板,仅包含基本的下载、调试和IO 。

DAC 模块:

这部分我们采用了一块以前自行设计的与上述EP1C3T144开发板配合的模块,它采用MAX5858A 作为DA 转换的核心,并且在板上做了电流-电压转换,输出电压范围为约-0.5至0.5V 。其电路如图5-2

图5-2 DAC 电路

图5-2是参考MAX5858A 数据手册中的典型应用电路设计的,其中OPA681为一片高带宽增益积运放,实际制作中我们用MAX4108代替了OPA681,效果一致。根据MAX5858A 的数据手册,MAX5858A 的差分电流输出的输出电流范围可由R 25设定,输出最大值为:SE T

R E F O

R V 32 式中R SET 即为图5-2中的R 25

当它取3.9K Ω时,有约10mA 的最大电流输出,结合后级R f 为50Ω的电流-电压变换,输出范围为-500mV 至500mV 。

滤波部分:

滤波部分采用的是一个两阶巴特沃兹低通滤波电路,采用一个运放完成(实为:MAX4108),同时作两倍电压放大。实际电路如图5-3

图5-3 滤波器

放大部分:

放大部分较为简单,我们使用了高速单运放AD811完成放大。其带宽增益积为140MHz,双15V供电时,有±12V的输出摆幅,最大输出电流为100mA,满足题目要求。为防止自激实际中采用反相3倍电压放大,不影响指标。

六、调试

调试过程主要围绕FPGA + DAC为核心的DDS信号输出和调制模块进行。利用EDA软件Quarturs II提供的嵌入式内置逻辑分析仪,通过JTAG口实时观察FPGA内部信号,以便及时发现、定位和修复FPGA当中存在的逻辑错误。DDS与单片机接口采用了自定义的类SPI接口形式,在调试过程当中,首先通过凌阳单片机开发软件对单片机程序进行软仿真,结合示波器确定单片机发出的命令控制字的有效性,接着通过EDA软件Quarturs II提供的嵌入式内置逻辑分析仪确认DDS内部接口逻辑的正确性。

七、指标测试

测试仪器:FLUKE 15B数字万用表、Tektronix TDS1002数字示波器、

QF4134型调制度测量仪、EE1641B型函数信号发生器

1.基本要求测试

(1)正弦波频率范围测试

将输出端接50 负载,对输出电压进行测试,测试数据如表7-1所示:

表7-1

在题目要求的范围内选取2个测试点(1kHz和1MHz)进行测试。测试结果

如表7-2。

表7-2

m测试

(1)幅度调制信号调制度a

采用调制度测量仪对系统输出信号进行测试,测试结果见表7-3。

表7-3

(2)调制度a步进测试

m,观察得本系统提供的预置调制度、1%或10%调制度通过键盘输入改变a

步进均可正常工作。

(3)频率调制信号频偏测试

由于本题中调频的载波范围较广,故对于载频在1.3MHz以上的调制信号采

用调制度测量仪测试,其他的从数字示波器中观察信号并计算出频偏。频偏测试

结果如表7-4。

表7-4

从示波器上同时观察基带序列信号和调制后信号,测试结果两者完全一致,表略。

3.扩展部分测试

第二路测试方法与第一路一样,在此不再赘述,仅将各测试结果的表格陈列如下:

m测试结果见表7-5。

(1)外输入幅度调制信号调制度a

表7-5

m步进测试效果基本与第一路一样。

(2)调制度a

(3)外输入频率调制信号频偏测试结果如表7-6。

表7-6

两者完全一致,表略。

八、结论

经测试,由单片机、FPGA结合DAC构成的正弦信号发生器达到了题目提出的所有要求,并在大部分指标上有所超越。由于采用了较为灵活的设计方案,本系统具有结构紧凑,电路简单,功能强大,可扩展性强的特点,并且调试相对容易,进度可控制性强。

能产生方波,三角波,正弦波地信号发生器(用741)

模拟电子技术 ——课程设计报告 题目:信号发生器 专业: 班级: 学号: : 日期: 指导老师: 目录(信号发生器) 1 信号发生器的总方案及原理框图 1.1 电路设计原理框图 1.2 电路设计方案设计

2 设计的目的及任务 2.1 课程设计的目的 2.2 课程设计的任务与要求 2.3 课程设计的技术指标 3 各部分电路设计 3.1 正弦波产生电路的工作原理 3.2 正弦波——方波发生电路的工作原理3.3 方波——三角波转换电路的工作原理3.4 电路的参数选择与计算 3.5 总电路图 4 电路的仿真 4.1 正弦波发生电路仿真 4.2 方波——三角波发生电路的仿真 5 电路的安装与调试 5.1 正弦波发生电路的安装与调试 5.2 正弦波——方波的安装与调试 5.3 方波——三角波的安装与调试 5.4 总电路的安装与调试 5.5 电路安装与调试中遇到的问题及分析解决方法 6 电路的实验结果 6.1 正弦波发生电路的实验结果

6.2 正弦波——方波转换电路的实验结果6.3 方波——三角波转换电路的实验结果 6.4 实测电路误差分析及改进方法 7 实验总结 1 信号发生器的总方案及原理框图 1.1 电路设计原理框图 电路设计原理框图如图1所示。 三角波

图1 电路设计原理框图 1.2 电路设计方案设计 1、采用RC串并联网络构成的RC桥式振荡电路产生正弦波。 2、将第一级送出的正弦波经过第二级的滞回电压比较器输出方波。 3、将第二级的方波通过第三级的积分器输出三角波。 4、电路完成。 2 设计的目的及任务 2.1 课程设计的目的 1、学习用集成运放构成正弦波、方波、三角波发生器。 2、学习波形发生器的调整和主要性能指标的测试方法。

正弦信号发生器的设计

XXXX大学现代科技学院DSP硬件电路设计基础课程设计 设计名称正弦信号发生器的设计 专业班级 学号 姓名DENG 指导教师XXXX

课程设计任务书 注: 上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 日期:2014-12-10

专业班级 XXXXXXX 学号 姓名 DENG 成绩 设计题目 正弦波信号发生器 设计目的 学会使用CCS(Code Composer Studio)集成开发环境软件,在此集成开发环境下完成工程项目创建,程序编写,编译,链接,调试以及数据的分析。同时完成一个正弦波信号发生器的程序的编写,并在集成开发环境下进行模拟运行,观察结果。 设计内容 编写一个产生正弦波信号的程序,在CCS 软件下进行模拟运行,观察输出结果。 设计原理 正弦波信号发生器已被广泛地应用于通信、仪器仪表和工业控制等领域的信号处理系统中。通常有两种方法可以产生正弦波,分别为查表法和泰勒级数展开法。查表法是通过查表的方式来实现正弦波,主要用于对精度要求不很高的场合。泰勒级数展开法是根据泰勒展开式进行计算来实现正弦信号,它能精确地计算出一个角度的正弦和余弦值,且只需要较小的存储空间。本次课程设计只要使用泰勒级数展开法来实现正弦波信号。 1. 产生正弦波的算法 在高等数学中,正弦函数和余弦函数可以展开成泰勒级数,其表达式为 若要计算一个角度x 的正弦和余弦值,可取泰勒级数的前5项进行近似计算。 ……………………………………装………………………………………订…………………………………………线………………………………………

由上述两个式子可以推导出递推公式,即 sin(nx)=2cos(x)sin[(n-1)x]-sin[(n-2)x] cos(nx)=2cos(x)sin[(n-1)x]-cos[(n-2)x] 由递推公式可以看出,在计算正弦和余弦值时,不仅需要已知cos(x),而且还需要sin[(n-1)x]、sin[(n-2)x]和cos[(n-2)x]。 2. 正弦波的实现 ⑴计算一个角度的正弦值 利用泰勒级数的展开式,可计算一个角度x的正弦值,并采用子程序的调用方式。在调用前先在数据存储器d_xs单元中存放x的弧度值,计算结果存放在d_sinx单元中。 ⑵计算一个角度的余弦值 利用余弦函数展开的泰勒级数的前五项计算一个角度的余弦值,可采用子程序的调用方式来实现。调用前先将x弧度值放在数据存储器d_xc单元中,计算结果存放在d_cosx单元中。 ⑶正弦波的实现 利用计算一个角度的正弦值和余弦值程序可实现正弦波。其实现步骤如下:第一步:利用sin_start和cos_start 子程序,计算 45°~0°(间隔为 0.5°)的正弦和余弦值; 第二步:利用sin(2x)=2sin(x)cos(x)公式,计算 90°~0°的正弦值(间隔为1°);第三步:通过复制,获得359°~0°的正弦值; 第四步:将359°~0°的正弦值重复从PA口输出,便可得到正弦波。 在实际应用中,正弦波是通过D/A口输出的。选择每个正弦周期中的样点数、改变每个样点之间的延迟,就能够产生不同频率的波形,也可以利用软件改变波形的幅度以及起始相位。 总体方案设计 1. 总体实现方案 我们知道一个角度为x的正弦和余弦函数,都可以展开为泰勒级数,且其前五项可以看为:

方波-三角波-正弦波函数信号发生器

课程设计说明书 课程设计名称:电子课程设计 课程设计题目:设计制作一个产生方波-三角波-正弦波函数转换器学院名称:信息工程学院 专业:电子信息科学与技术班级: xxxxxxxx 学号: xxxxxxx 姓名: xxxxx 评分:教师: xxxxxx 20 13 年 10 月 15 日

电子课程设计 课程设计任务书 20 13 -20 14 学年 第 1 学期 第 1 周- 3 周 注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。

摘要 当今世界在以电子信息技术为前提下推动了社会跨越式的进步,科学技术的飞速发展日新月异带动了各国生产力的大规模提高。由此可见科技已成为各国竞争的核心,尤其是电子通信方面更显得尤为重要,在国民生产各部门都得到了广泛的应用,而各种仪器在科技的作用性也非常重要,如信号发生器、单片机、集成电路等。 信号发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和 教学实验等领域。常用超低频信号发生器的输出只有几种固定的波形,有方波、 三角波、正弦波、锯齿波等,不能更改信号发生器作为一种常见的应用电子仪器 设备,传统的可以完全由硬件电路搭接而成,如采用LM324振荡电路发生正弦波、 三角波和方波的电路便是可取的路径之一,不用依靠单片机。 本系统本课题将介绍由LM324集成电路组成的方波——三角波——正弦波 函数信号发生器的设计方法,了解多功能函数信号发生器的功能及特点,进一步 掌握波形参数的测试方法,制作这种低频的函数信号发生器成本较低,适合学生 学习电子技术测量使用。制作时只需要个别的外部元件就能产生正弦波、三角波、 方波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。 关键字:信号发生器、波形转换、LM324

正弦信号发生器2

正弦信号发生器[2005年电子大赛一等奖] 文章来源:凌阳科技教育推广中心 作者:华中科技大学(华中科技大学曹震陈国英孟芳宇)发布时间:2006-4-21 17:33:13 本系统基于直接数字频率合成技术;以凌阳SPCE061A单片机为控制核心;采用宽带运放AD811和AGC技术使得50Ω负载上峰值达到6V±1V;由模拟乘法器AD835产生调幅信号;由数控电位器程控调制度;通过单片机改变频率字实现调频信号,最大频偏可控;通过模拟开关产生ASK、PSK信号。系统的频率范围在100Hz~12MHz,稳定度优于10-5,最小步进为10Hz。 一、方案论证 根据题目要求和本系统的设计思想,系统主要包括图1.1所示的模块。 图1.1 系统模块框图

1、单片机选型 方案一:采用现在比较通用的51系列单片机。51系列单片机的发展已经有比较长的时间,应用比较广泛,各种技术都比较成熟,但此系列单片机是8位机,处理速度不是很快,资源不够充足,而且其最小系统的外围电路都要自己设计和制作,使用起来不是很方便,故不采用。 方案二:选用凌阳公司的SPCE061A单片机。SPCE061A单片机是16位的处理器,主频可以达到49MHz,速度很快,再加上其方便的ADC接口,非常适合对高频信号进行数字调频,如果对音频信号进行A/D采样,经过数字调频并发射,完全可以达到调频广播的效果。 结合题目的要求及SPCE061A单片机的特点,本系统选用凌阳公司的此款单片机。 2、频率合成模块 方案一:锁相环频率合成。如图1.2,锁相环主要由压控LC振荡器,环路滤波器,鉴相器,可编程分频器,晶振构成。且频率稳定度与晶振的稳定度相同,达10-5,集成度高,稳定性好;但是锁相环锁定频率较慢,且有稳态相位误差,故不采用。 图1.2 锁相环的基本原理 方案二: 直接数字频率合成。直接数字频率合成DDFS(Direct Digital Frequency Synthesizer)基于Nyquist定理,将模拟信号采集,量化后存入存储器中,通过寻址查表输出波形数据,再经D/A转

EDA课程设计-正弦信号发生器的设计

《EDA技术》设计报告 设计题目正弦信号发生器的设计 院系:信息工程学院 专业:通信工程____ 学号: 姓名:__________

一.设计任务及要求 1.设计任务: 利用实验箱上的D/A 转换器和示波器设计正弦波发生器,可以在示波器上观察到正弦波 2.设计要求: (1) 用VHDL 编写正弦波扫描驱动电路 (2)设计可以产生正弦波信号的电路 (3)连接实验箱上的D/A 转换器和示波器,观察正弦波波形 二.设计方案 (1)设计能存储数据的ROM 模块,将正弦波的正弦信号数据存储在在ROM 中,通过地址发生器读取,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 (2)用VHDL 编写正弦波信号数据,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 三.设计框图 图 1 设计框图 信号发生器主要由以下几个部分构成:计数器用于对数据进行采样,ROM 用于存储待采样的波形幅度数值,TLV5620用于将采集的到正弦波数字量变为模拟量,最后通过示波器进行测量获得的波形。其中,ROM 设置为7根地址线,8个数据位,8位并行输出。TLV5260为串行输入的D/A 转换芯片,因此要把ROM 中并行输出的数据进行并转串。 四.实现步骤 1.定制ROM 计 数 器 7根地址线 8 位 R O M 并转串输出 CLK TLV5620D/A 转换 RST

ROM的数据位选择为8位,数据数选择128个。利用megawizard plug-in manager定制正弦信号数据ROM宏功能块,并将上面的波形数据加载于此ROM中。如图3所示。 图2 ROM存储的数据 图3 调入ROM初始化数据文件并选择在系统读写功能 2.设计顶层

正弦信号发生器(参考2)

正弦信号发生器 作者:曾立丁运鸿陈亮 赛前辅导及文稿整理辅导教师:肖看 摘要 本系统以51单片机及FPGA为控制核心,由正弦信号发生模块、功率放大模块、调幅(AM)、调频(FM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9851产生5Hz-20MHz正弦信号,经滤波、放大和功放模块放大至6v并具有一定的驱动能力。测试信号发生模块产生的1kHz正弦信号经过调幅(AM)模块、调频(FM)模块,对高频载波进行调幅或调频。二进制基带序列信号送入数字键控模块,产生二进制PSK或ASK 信号,同时对ASK信号进行解调,恢复出原始数字序列。另外,本系统还配备有液晶显示屏、遥控键盘,提供了友好的人机交互界面。 ABSTRACT This system is in the core of Micro-Processor and FPGA (Field Programmable Gate Array), consist of sine signal generating module, Power amplifier, Amplitude Modulator, Frequency Modulator, ASK/PSK module and test signal generating module. The AD9851 controlled by Micro-Process in digital way to generate sine signal with the bandwidth 5Hz to 20MHz adjustable per 1Hz. After processing by LPF & power amplifier, the output signal has a peak value of move than 6V. The sine signal at 1 KHz was send to AM and FM module to modulate the high frequency carrier waveform. The binary sequential was send to the relative module to generate ASK and PSK signal. At last demodulate module demodulate the ASK signal and got the same binary sequential as set before. In order to provide a friendly user interface, the LCD and remote infrared control keyboard was introduced in this system.

正弦信号发生器(2012)(DOC)

正弦信号发生器 摘要:本系统以MSP430和DDS为控制核心,由正弦信号发生模块、功率放大模块、频率调制(FM)、幅度调制(AM)模块、数字键控(ASK,PSK)模块以及测试信号发生模块组成。采用数控的方法控制DDS芯片AD9851产生1kHz~10MHz正弦信号;经滤波、放大和功放模块达到正弦信号输出电压幅度 =6V±1V 并具有一定的驱动能力的功能;产生载波信号可设定的AM、FM信号;二进制基带序列码由CPLD产生,在100KHz固定载波频率下进行数字键控,产生ASK,PSK 信号且二进制基带序列码速率固定为10kbps,二进制基带序列信号可自行产生。 关键词:DDS;宽频放大;模拟调频;模拟调幅。 一、方案比较与论证 1.方案论证与选择 (1)正弦信号产生部分 方案一:使用集成函数发生器芯片ICL8038。 ICL8038能输出方波、三角波、正弦波和锯齿波四种不同的波形,将他作为正弦信号发生器。它是电压控制频率的集成芯片,失真度很低。可输入不同的外部电压来实现不同的频率输出。为了达到数控的目的,可用高精度DAC来输出电压以控制正弦波的频率。 方案二:锁相环频率合成器(PLL) 锁相环频率合成器(PLL)是常用的频率合成方法。锁相环由参考信号源、鉴相器、低通滤波器、压控振荡器几个部分组成。通过鉴相器获得输出的信号FO与输入信号Fi的相位差,经低通滤波器转换为相应的控制电压,控制VCO输出的信号频率,只有当输出信号与输入信号的频率于相位完全相等时,锁相环才达到稳定。如果在环路中加上分频系数可程控的分频器,即可获得频率程控的信号。由于输出信号的频率稳定度取决于参考振荡器信号fi ,参考信号fi 由晶振分频得到,晶振的稳定度相当高,因而该方案能获得频率稳定的信号。一般来说PLL的频率输出范围相当大,足以实现1kHz-10MHZ的正弦输出。如果fi=100Hz 只要分频系数足够精细(能够以1步进),频率100Hz步进就可以实现。 方案三:直接数字频率合成(DDS) DDS是一种纯数字化方法。它现将所需正弦波一个周期的离散样点的幅值数字量存入ROM中,然后按一定的地址间隔(相位增量)读出,并经DA转换器形成模拟正弦信号,再经低通滤波器得到质量较好的正弦信号,DDS原理图如图1所示:

正弦波函数信号发生器

电子技术课程设计报告 电子技术课程设计报告——正弦波函数信号发生器的设计 作品40% 报告 20% 答辩 20% 平时 20% 总分 100% 设计题目:班级:班级学号:学生姓名:

目录 一、预备知识 (1) 二、课程设计题目:正弦波函数信号发生器 (2) 三、课程设计目的及基本要求 (2) 四、设计内容提要及说明 (3) 4.1设计内容 (3) 4.2设计说明 (3) 五、原理图及原理 (8) 5.1功能模块电路原理图 (9) 5.2模块工作原理说明 (10) 六、课程设计中涉及的实验仪器和工具 (12) 七、课程设计心得体会 (12) 八、参考文献 (12)

一、预备知识 函数发生器是一种在科研和生产中经常用到的基本波形生产期,现在多功能的信号发生器已经被制作成专用的集成电路,在国内生产的8038单片函数波形发生器,可以产生高精度的正弦波、方波、矩形波、锯齿波等多种信号波,这中产品和国外的lcl8038功能相同。产品的各种信号频率可以通过调节外接电阻和电容的参数进行调节,快速而准确地实现函数信号发生器提供了极大的方便。发生器是可用于测试或检修各种电子仪器设备中的低频放大器的频率特性、增益、通频带,也可用作高频信号发生器的外调制信号源。顾名思义肯定可以产生函数信号源,如一定频率的正弦波,有的可以电压输出也有的可以功率输出。下面我们用简单的例子,来说明函数信号发生器原理。 (a) 信号发生器系统主要由下面几个部分组成:主振级、主振输出调节电位器、电压放大器、输出衰减器、功率放大器、阻抗变换器(输出变压器)和指示电压表。 (b) 工作模式:当输入端输入小信号正弦波时,该信号分两路传输,其一路径回路,完成整流倍压功能,提供工作电源;另一路径电容耦合,进入一个反相器的输入端,完成信号放大功能。该放大信号经后级的门电路处理,变换成方波后经输出。输出端为可调电阻。 (c) 工作流程:首先主振级产生低频正弦振荡信号,信号则需要经过电压放大器放大,放大的倍数必须达到电压输出幅度的要求,最后通过输出衰减器来直接输出信号器实际可以输出的电压,输出电压的大小则可以用主振输出调节电位器来进行具体的调节。 它一般由一片单片机进行管理,主要是为了实现下面的几种功能: (a) 控制函数发生器产生的频率; (b) 控制输出信号的波形; (c) 测量输出的频率或测量外部输入的频率并显示; (d) 测量输出信号的幅度并显示; (e) 控制输出单次脉冲。 查找其他资料知:在正弦波发生器中比较器与积分器组成正反馈闭环电路,方波、三角波同时输出。电位器与要事先调整到设定值,否则电路可能会不起振。只要接线正确,接通电源后便可输出方波、三角波。微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。 调整电位器及电阻,可以使传输特性曲线对称。调节电位器使三角波的输出幅度经R输出等于U值,这时输出波形应接近正弦波,调节电位器的大小可改善波形。 因为运放输出级由PNP型与NPN型两种晶体管组成复合互补对称电路,输

信号发生器分析报告

信号发生器报告

————————————————————————————————作者:————————————————————————————————日期:

基于虚拟仪器的信号发生器的设计 【摘要】虚拟仪器是将仪器技术、计算机技术、总线技术和软件技术紧密的融合在一起,利用计算机强大的数字处理能力实现仪器的大部分功能,打破了传统仪器的框架,形成的一种新的仪器模式。 本次设计主要是阐述虚拟信号发生器的前面板和程序框图的设计。设计完的信号发生器的功能包括能够产生正弦波、矩形波、三角波、锯齿波四种信号波形;波形的频率、幅值、相位、偏移量及占空比等参数由前面板控件实时可调。 【关键词】虚拟仪器,信号发生器,LABVIEW 引言 信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。 1.信号发生器的发展 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或用作脉冲调制器的脉冲信号发生器。由于早期的信号发生器机械结构比较复杂,功率比较大,电路比较简单,因此发展速度比较慢。直到1964年才出现第一台全晶体管的信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形,由于模拟电路的漂移较大,使其输出的波形的幅度稳定性差,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形则电路结构非常复杂。自从70年代微处理器出现以后,利用微处理器、模数转换器和数

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

正弦波信号发生器设计(课设)

课程设计I(论文)说明书 (正弦波信号发生器设计) 2010年1月19日

摘要 正弦波是通过信号发生器,产生正弦信号得到的波形,方波是通过对原信号进行整形得到的波形。 本文主要介绍了基于op07和555芯片的正弦波-方波函数发生器。以op07和555定时器构成正弦波和方波的发生系统。Op07放大器可以用于设计正弦信号,而正弦波可以通过555定时器构成的斯密特触发器整形后产生方波信号。正弦波方波可以通过示波器检验所产生的信号。测量其波形的幅度和频率观察是否达到要求,观察波形是否失真。 关键词:正弦波方波 op07 555定时器

目录 引言 (2) 1 发生器系统设计 (2) 1.1系统设计目标 (2) 1.2 总体设计 (2) 1.3具体参数设计 (4) 2 发生器系统的仿真论证 (4) 3 系统硬件的制作 (4) 4 系统调试 (5) 5 结论 (5) 参考文献 (6) 附录 (7) 1

引言 正弦波和方波是在教学中经常遇到的两种波形。本文简单介绍正弦波和方波产生的一种方式。在这种方式中具体包含信号发生器的设计、系统的论证、硬件的制作,发生器系统的调制。 1、发生器系统的设计 1.1发生器系统的设计目标 设计正弦波和方波发生器,性能指标要求如下: 1)频率范围100Hz-1KHz ; 2)输出电压p p V ->1V ; 3)波形特性:非线性失真~γ<5%。 1.2总体设计 (1)正弦波设计:正弦波振荡电路由基本放大电路、反馈网络、选频网 络组成。

2 图1.1 正弦波振荡电路产生的条件是要满足振幅平衡和相位平衡,即AF=1; φa+φb=±2nπ;A=X。/Xid; F=Xf/X。;正弦波振荡电路必须有基本放大电路, 本设计以op07芯片作为其基本放大电路。 基本放大电路的输出和基本放大电路的负极连接电阻作为反馈网络。反馈网络中 两个反向二极管起到稳压的作用。振荡电路的振荡频率f0是由相位平衡条件决 定的。一个振荡电路只在一个频率下满足相位平衡条件,这要求AF环路中包含 一个具有选频特性的选频网络。f0=1/2πRC。要实现频率可调,在电容C不变的 情况下电阻R可调就可以实现频率f0的变化。 (2)方波设计:方波可以把正弦波通过斯密特触发器整形后产生。基于555定时器接成的斯密特触发器。 设斯密特触发器输出波形为V1,V2且V1>V2。 输入正弦波v1从0逐渐升高的过程:v1<1/3Vcc时,输出v0=V1; 当1/3Vcc2/3Vcc时,v0=V2; 输入正弦波v1从高于2/3Vcc开始下降的过程:当1/3Vcc

方波——三角波——正弦波函数信号发生器

1函数发生器的总方案及原理框图(1) 1.1电路设计原理框图(1) 1.2 电路设计方案设计(1) 2 设计的目的及任务(2) 2.1 课程设计的目的(2) 2.2课程设计的任务与要求(2) 2.3课程设计的技术指标(2) 3 各部分电路设计(3) 3.1方波发生电路的工作原理(3) 3.2方波---三角波转换电路的工作原理(3) 3.3三角波---正弦波转换电路的工作原理,,,,,,,(6) 3.4电路的参数选择及计算(8) 3.5 总电路图(10) 4 电路仿真(11) 4.1方波---三角波发生电路的仿真(11) 4.2三角波---正弦波转换电路的仿真(12) 5 电路的安装与调试(13) 5.1方波---三角波发生电路的安装与调试(13) 5.2三角波---正弦波转换电路的安装与调试,,,,,,,(13) 5.3总电路的安装与调试(13) 5.4电路安装与调试中遇到的问题及分析解决方法,,,,(13) 6 电路的实验结果(14) 6.1方波---三角波发生电路的实验结果(14) 6.2三角波---正弦波转换电路的实验结果(14) 6.3实测电路波形、误差分析及改进方法(15) ,,,,,,,,,,,,,,,,,,,,,,,, (17)7 实验总结 8 仪器仪表明细清单(18) 9 参考文献(19)

1. 函数发生器总方案及原理框图 1.1原理框图 1.2函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管), 也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波一三角波一正弦波函数发生器的设计方法。 产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波一方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波一三角波,再将三角波变换成正弦波的电路设计方法, 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波一三角波产生电路,比较器输出的方波经积分器 得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形 变换的原理是利用差分放大器传输特性曲线的非线性。

实验1 示波器函数信号发生器的原理及使用(实验报告之实验数据表)

实验1 示波器、函数信号发生器的原理及使用 【实验目的】 1. 了解示波器、函数信号发生器的工作原理。 2. 学习调节函数信号发生器产生波形及正确设置参数的方法。 3. 学习用示波器观察测量信号波形的电压参数和时间参数。 4. 通过李萨如图形学习用示波器观察两个信号之间的关系。 【实验仪器】 1. 示波器DS5042型,1台。 2. 函数信号发生器DG1022型,1台。 3. 电缆线(BNC 型插头),2条。 【实验内容与步骤】 1. 利用示波器观测信号的电压和频率 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-1所示的正余弦波形,显示在示波屏上。 图1-1 函数信号发生器生成的正、余弦信号的波形 学生姓名/学号 指导教师 上课时间 第 周 节

(2)用示波器对图1-1中所示的正余弦波形进行测量并填写下表 表1-1 正余弦信号的电压和时间参数的测量 电压参数(V)时间参数 峰峰值最大值最小值频率(Hz)周期(ms)正弦信号 3sin(200πt) 余弦信号 3cos(200πt) 2. 用示波器观测函数信号发生器产生的正余弦信号的李萨如图形 (1)参照“实验1 示波器函数信号发生器的原理及使用(实验指导书)”相关内容,产生如图1-2所示的正余弦波形的李萨如图形,调节并正确显示在示波屏上。 图1-2 正弦信号3sin(200πt)和余弦信号3cos(200πt)的李萨如图形 3. 观测相同幅值、相同频率、不同相位差条件下的两正弦信号的李萨如图形 (1)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+45o),观测并记录两正弦信号的李萨如图形于图1-3中。 (2)在函数信号发生器CH1通道产生的正弦信号3sin(200πt)保持不变的情况下,调节函数信号发生器CH2通道产生正弦信号3sin(200πt+135o),观测并记录两正弦信号的李萨如图形于图1-3中。

函数信号发生器与示波器的使用实验报告书

函数信号发生器与示波器的使用实验报告书 专业:班级:学号: 姓名:实验时间: 实验目的 1、学会数字合成函数信号发生器常用功能的设置、使用; 2、会从函数信号发生器胡频率计上读出信号频率; 3、在了解数字双踪示波器显示波形的工作原理基础上,观察 并测量以下信号:(见下表)学会数字示波器的基本操作与 读书; 实验仪器 F40函数信号发生器、UTD2102CE数字示波器、探头。 实验原理 1、函数信号发生器的原理

该仪器采用直接数字合成技术,可以输出函数信号、调频、调幅、FSK、PSK、猝发、频率扫描等信号,还具有测频、计数、任意波形发生器功能。 2、示波器显示波形原理 如果在示波器CH1或CH2端口加上正弦波,在示波器的X 偏转板加上示波器内部的锯齿波,当锯齿波电压的变化周期与 正弦波电压相等时,则显示完整的周期的正弦波形,若在示波 器CH1和YCH2同时加上正弦波,在示波器的X偏转板上加上 示波器的锯齿波,则在荧光屏上将的到两个正弦波。 实验内容 1、做好准备工作,连接实验仪器电路,设置好函数信号发生 器、示波器; (1)、把函数信号发生器的“函数输出”输出端与示波器的 X CH1信号输入端连接,两台仪器的接通220V交流电源。 (2)、启动函数信号发生器,开机后仪器不需要设置,短暂 时间后,即输出10K Hz的正弦波形。 (3)、需要信号源的其他信号,到时在进行相关的数据设定 (如正弦波2的波形、频率、点频输出、信号幅度)等。 2、用示波器观察上表中序号1的信号波形(10KHz);过程如下: (1)、打开示波器的电源开关,将数字存储示波器探头连接到CH1输入端,按下“AUTO”按键,示波器将自动设置垂直偏转系数、扫描时基以及触发方式;按下CH1按键。

函数信号发生器使用说明(超级详细)

1-1 SG1651A函数信号发生器使用说明 一、概述 本仪器是一台具有高度稳定性、多功能等特点的函数信号发生器。能直接产生正弦波、三角波、方波、斜波、脉冲波,波形对称可调并具有反向输出,直流电平可连续调节。TTL可与主信号做同步输出。还具有VCF输入控制功能。频率计可做内部频率显示,也可外测1Hz~的信号频率,电压用LED显示。 二、使用说明 面板标志说明及功能见表1和图1 图1 序 号 面板标志名称作用1电源电源开关按下开关,电源接通,电源指示灯亮 2 波形波形选择1、输出波形选择 2、与1 3、19配合使用可得到正负相锯齿波和脉冲波 3频率频率选择开关频率选择开关与“9”配合选择工作频率外测频率时选择闸门时间 4Hz频率单位指示频率单位,灯亮有效 5K Hz频率单位指示频率单位,灯亮有效 6闸门闸门显示此灯闪烁,说明频率计正在工作 7溢出频率溢出显示当频率超过5个LED所显示范围时灯亮 8频率LED 所有内部产生频率或外测时的频率均由此5个LED显示 9频率调节频率调节与“3”配合选择工作频率 10直流/拉出直流偏置调节输 出 拉出此旋钮可设定任何波形的直流工作点,顺时 针方向为正,逆时针方向为负

DC1641数字函数信号发生器使用说明 一、概述 DC1641使用LCD显示、微处理器(CPU)控制的函数信号发生器,是一种小型的、由集成电路、单片机与半导体管构成的便携式通用函数信号发生器,其函数信号有正弦波、三角波、方波、锯齿波、脉冲五种不同的波形。信号频率可调范围从~2MHz,分七个档级,频率段、频率值、波形选择均由LCD显示。信号的最大幅度可达20Vp-p。脉冲的占空比系数由10%~90%连续可调,五种信号均可加±10V的直流偏置电压。并具有TTL电平的同步信号输出,脉冲信号反向及输出幅度衰减等多种功能。除此以外,能外接计数输入,作频率计数器使用,其频率范围从10Hz~10MHz(50、100MHz[根据用户需要])。计数频率等功能信息均由LCD 显示,发光二极管指示计数闸门、占空比、直流偏置、电源。读数直观、方便、准确。 二、技术要求 函数发生器 产生正弦波、三角波、方波、锯齿波和脉冲波。 2.1.1函数信号频率范围和精度 a、频率范围 由~2MHz分七个频率档级LCD显示,各档级之间有很宽的覆盖度, 如下所示: 频率档级频率范围(Hz) 1 ~2 10 1~20 100 10~200 1K 100~2K 10K 1K ~20K 100K 10K ~200K

函数信号发生器设计报告

目录 1设计的目的及任务 1.1 课程设计的目的 1.2 课程设计的任务与要求 2函数信号发生器的总方案及原理图 2.1 电路设计原理框图 2.2 电路设计方案设计 3 各部分电路设计及选择 3.1 方波发生电路的工作原理 3.2 方波、三角波发生电路的选择 3.3三角波---正弦波转换电路的选择 3.4总电路图 4 电路仿真与调试 4.1 方波---三角波发生电路、三角波---正弦波转换电路的仿真与调试 4.2方波---三角波发生电路、三角波---正弦波转换电路的实验结果 5 PCB制版

6 设计总结 7仪器仪表明细清单 8 参考文献 1.课程设计的目的和设计的任务 1.1 设计目的 1.掌握用集成运算放大器构成正弦波、方波和三角波函数发生器的设计方法。 2.学会安装、调试与仿真由分立器件、调试与仿真由分立器件与集成电路组成的多级电子电路小系统。 2.2设计任务与要求: 设计一台波形信号发生器,具体要求如下: 1.输出波形:方波、三角波、正弦波。

2.频率范围:在1 Hz-10Hz,10 Hz -100 Hz,100 Hz -1000 Hz 等三个波段。 3.频率控制方式:通过改变RC时间常数手控信号频率。 4.输出电压:方波UP-P≤24V,三角波UP-P=8V,正弦波UP-P>1V。 5.合理的设计硬件电路,说明工作原理及设计过程,画出相关的电路原理图。 6.选用常用的电器元件(说明电器元件选择过程和依据)。 7.画出设计的原理电路图,作出电路的仿真。 8.提交课程设计报告书一份,A3图纸两张,完成相应答辩。 2.函数发生器总方案及原理框图

正弦信号发生器设计

正弦信号发生器设计方案 1 引言 为了精确地输出正弦波、调幅波、调频波、PSK及ASK等信号,并依据直接数字频率合成(Direct Digital FrequencySvnthesizer,简称DDFS)技术及各种调制信号相关原理,设计了一种采用新型DDS器件产生正弦波信号和各种调制信号的设计方法。采用该方法设计的正弦信号发生器已广泛用于工程领域,且具有系统结构简单,界面友好等特点。 2 系统总体设计方案 图1给出系统总体设计方框图,它由单片机、现场可编程门阵列(FPGA)及其外围的模拟部分组成。在FPGA的内部数字部分中,利用FPGA内部的总线控制模块实现与键盘扫描、液晶控制等人机交互模块的通信,并在单片机与系统工作总控制模块之间的交互通信中起桥梁作用。系统工作总控制可统一控制各个时序模块;各时序模块用于完成相应的控制功能。在模拟部分中,利用无源低通滤波器及放大电路,使AD9851型DDS模块的输出信号成为正弦波和FM调制信号;再利用调幅电路,使FPGA内部DDS模块产生的信号与AD9851输出的载波信号变为调幅信号,同时在基带码控制下通过PSK/ASK调制电路得到PsK和ASK信号。最后,各路信号选择通道后,经功率放大电路驱动50Ω负载。 3 理论分析与计算 3.1 调幅信号 调幅信号表达式为:

式中:ω0t,ωt分别为调制信号和载波信号的角频率;MA为调制度。 令V(O)=Vocos(ω0t),V(ω)=MAcos(ωt),则V(t)=V(O)+V(O)V(ω)。故调幅信号可通过乘法器和加法器得到;通过改变调制信号V(ω)的幅值改变MA,V(ω)的范围为0.1~l V,MA对应为10%~100%。 3.2 调频信号 采用DDS调频法产生调频信号,具体实现方法:通过相位累加器和波形存储器在FPGA内部构成一个DDS模块,用于产生1 kHz的调制信号。其中,波形存储器的数据即为调制信号的幅度值。将这些表示幅度值的数据直接与中心频率对应的控制字相加,即可得到调频信号的瞬时频率控制字,再按调制信号的频率切换这些频率控制字,即可得到与DDS模块输出相对应的调频信号。 3.3 PSK和ASK信号 ASK信号是振幅键控信号,可用一个多路复用器实现。当控制信号为1时,选择载波信号输出;当控制信号为0时,不选择载波信号输出;当控制信号由速率为10 Kb/s的数字脉冲序列给出时,可以产生ASK信号。PSK信号是移相键控信号,这里只产生二相移相键控,即BPSK信号。它的实现方法与ASK基本相同,只是在控制信号为0时,选择与原载波信号倒相的输出信号,该倒相信号可由增益倍数为l的反相放大电路实现。 4 主要功能电路设计 图2给出调幅电路。它采用ADI公司的乘法器AD835实现。该器件内部自带加法器,可直接构成调幅电路。图3给出PSK/ASK电路。它主要由多路复用器和移相器构成。其中,移相器采用Maxim公司的高速运算放大器MAX477所构成的反相放大电路实现,多路复用器采用ADI公司的AD7502。当两条通道选择控制线A1AO为ll时,输出原信号;当A1A0为00时,输出原信号的反相信号;当A1A0为01时,无信号输出。这样只要FPGA按固定速率通过Al和AO两条控制线给出基带序列信号,就能相应输出PSK和ASK信号。

方波、三角波、正弦波函数信号发生器

内蒙古工业大学信息工程学院 内蒙古工业大学信息工程学院 课程学习报告 设计题目:如何实现正弦波、方波与三角波信号之间的变换 课程名称:模拟电子技术 班级: 姓名: 学号: 成绩: 指导教师:

目录 1 函数发生器的总方案及原理框图 (1) 1.1 电路设计原理框图 (1) 1.2 电路设计方案设计 (1) 2设计的目的及任务 (2) 2.1 课程设计的目的 (2) 2.2 课程设计的任务与要求 (2) 2.3 课程设计的技术指标 (2) 3 各部分电路设计 (3) 3.1 方波发生电路的工作原理 (3) 3.2 方波---三角波转换电路的工作原理 (3) 3.3 三角波---正弦波转换电路的工作原理 (6) 3.4电路的参数选择及计算 (8) 3.5 总电路图 (10) 4 电路仿真 (11) 4.1 方波---三角波发生电路的仿真 (11) 4.2 三角波---正弦波转换电路的仿真 (12) 5电路的安装与调试 (13) 5.1 方波---三角波发生电路的安装与调试 (13) 5.2 三角波---正弦波转换电路的安装与调试 (13) 5.3 总电路的安装与调试 (13) 5.4 电路安装与调试中遇到的问题及分析解决方法 (13) 6电路的实验结果 (14) 6.1 方波---三角波发生电路的实验结果 (14) 6.2 三角波---正弦波转换电路的实验结果 (14) 6.3 实测电路波形、误差分析及改进方法 (15) 7 实验总结 (17) 8 仪器仪表明细清单 (18) 9 参考文献 (19)

1.函数发生器总方案及原理框图 1.1 原理框图 1.2 函数发生器的总方案 函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件(如低频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块8038)。为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦波函数发生器的设计方法。 产生正弦波、方波、三角波的方案有多种,如首先产生正弦波,然后通过整形电路将正弦波变换成方波,再由积分电路将方波变成三角波;也可以首先产生三角波—方波,再将三角波变成正弦波或将方波变成正弦波等等。本课题采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法, 本课题中函数发生器电路组成框图如下所示: 由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。差分放大器具有工作点稳定,输入阻抗高,抗干扰能力较强等优点。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。波形变换的原理是利用差分放大器传输特性曲线的非线性。

相关主题
文本预览
相关文档 最新文档