当前位置:文档之家› 西工大数电实验报告实验三

西工大数电实验报告实验三

西工大数电实验报告实验三
西工大数电实验报告实验三

实验三.基于Quartus II的硬件描述语言电路设计

要求1:学习并掌握硬件描述语言(VHDL 或Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。参考“参考内容1”中给出的与门源程序,编写一个异或门逻辑电路。

1)用QuartusII 波形仿真验证;

2)下载到DE0 开发板验证。

要求2:熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。参考“参考内容2”中给出的将8421BCD 码转换成0-9 的七段码译码器源程序,编写一个将二进制码转换成0-F 的七段码译码器。

1)用QuartusII 波形仿真验证;

2)下载到DE0 开发板,利用开发板上的数码管验证。

要求3:熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。参考“参考内容3”中给出的四位二进制加减计数器的源程序,编写一个计数器。

1)用QuartusII 波形仿真验证;

2)下载到DE0 开发板验证。

要求4:熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。参考“参考内容4”中给出的50M 分频器的源程序,编写一个能实现占空比50%的5M 和50M分频器即两个输出,输出信号频率分别为10Hz 和1Hz。

1)下载到DE0 开发板验证。(提示:利用DE0 板上已有的50M 晶振作为输入信号,通过开发板上两个的LED 灯观察输出信号)。电路框图如下:

要求五:扩展内容:利用已经实现的VHDL 模块文件,采用原理图方法,实现0-F 计数自动循环显示,频率10Hz。(提示:如何将VHDL 模块文件在逻辑原理图中应用,参考参考内容5)

一.实验内容与结果

(一)异或门逻辑电路设计

1.异或门逻辑vhdl程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY yihuo IS

PORT(A,B:IN STD_LOGIC;

C:OUT STD_LOGIC);

END yihuo;

ARCHITECTURE fwm OF yihuo IS

BEGIN

C<=A XOR B;

END;

2.Quartus II波形仿真即结果

3.下载到DEO开发板上验证

(二)七段译码器

1.七段数码管原理

当FPGA对应输出端口为低电平时,点亮数码管相应的段;当FPGA

对应输出端口为高电平时,熄灭数码管相应的段。数码管的各段

位置索引如图所示。

2.Vhdl程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY exa3_2 IS

PORT (data_in:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

dis_out:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END exa3_2;

ARCHITECTURE fwm OF exa3_2 IS

BEGIN

PROCESS(data_in)

BEGIN

CASE data_in IS

WHEN"0000"=>dis_out<="1000000";--显示0

WHEN"0001"=>dis_out<="1111001";--显示1

WHEN"0010"=>dis_out<="0100100";--显示2

WHEN"0011"=>dis_out<="0110000";--显示3

WHEN"0100"=>dis_out<="0011001";--显示4

WHEN"0101"=>dis_out<="0010010";--显示5

WHEN"0110"=>dis_out<="0000010";--显示6

WHEN"0111"=>dis_out<="1111000";--显示7

WHEN"1000"=>dis_out<="0000000";--显示8

WHEN"1001"=>dis_out<="0010000";--显示9

WHEN"1010"=>dis_out<="0001000";--显示A

WHEN"1011"=>dis_out<="0000011";--显示b

WHEN"1100"=>dis_out<="1000110";--显示c

WHEN"1101"=>dis_out<="0100001";--显示d

WHEN"1110"=>dis_out<="0000110";--显示E

WHEN"1111"=>dis_out<="0001110";--显示F

END CASE;

END PROCESS;

END fwm;

3.Quartus II波形仿真及结果

4.下载到DEO开发板验证

(三)时序电路计数器

1.vhdl程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY exa3_3 IS

PORT ( clk,RST : IN STD_LOGIC;

DOUT : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);

--四位计数

COUT:OUTSTD_LOGIC);

--进位位

END exa3_3;

ARCHITECTURE fwm OF exa3_3 IS

SIGNAL Q1 : STD_LOGIC_VECTOR (3 DOWNTO 0);

BEGIN

PROCESS(clk,RST,Q1)

BEGIN

IF RST = '0' THEN Q1<=(OTHERS => '0'); COUT<= '0';

--qingling

ELSIF clk'EVENT AND clk='1' THEN

Q1<=Q1+1; COUT<= '0'; --jishu

IF Q1 >= "1111" THEN Q1<=(OTHERS => '0'); COUT<= '1';

--jinwei

END IF;

END IF;

END PROCESS;

DOUT<=Q1 ;

END fwm;

2.Quartus II波形仿真及结果

3.下载到DEO开发板验证

(四)分频电路

1.Vhdl程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY exa3_4 IS

PORT(clk:IN STD_LOGIC;

clk_out1:OUT STD_LOGIC;

clk_out10:OUT STD_LOGIC);

END exa3_4;

ARCHITECTURE fwm OF exa3_4 IS

CONSTANT m : INTEGER:= 25000000;

--50M 分频到1Hz 时=25000000。

SIGNAL tmp1 :STD_LOGIC;

SIGNAL tmp2 :STD_LOGIC;

BEGIN

PROCESS(clk)

VARIABLE cout1 : INTEGER range 0 to 50000000;

VARIABLE cout2 : INTEGER range 0 to 5000000;

BEGIN

IF clk'EVENT AND clk='1' THEN

cout1:=cout1+1; --计数器+1

IF cout1<=m THEN tmp1<='0';

--计数小于等于25000000,输出0

ELSIF cout1

--计数小于50000000,输出1

ELSE cout1:=0; --计数器清零

END IF;

cout2:=cout2+1; --计数器+1

IF cout2<=m/10 THEN tmp2<='0';

--计数小于等于25000000,输出0

ELSIF cout2

--计数小于50000000,输出1

ELSE cout2:=0; --计数器清零

END IF;

END IF;

END PROCESS;

clk_out1<=tmp1;

clk_out10<=tmp2; --分频器输出

END fwm;

2.下载到DEO开发板上验证

(五)0—F计数自动循环

1.由vhdl文件生成原理图方法:

在QuartusII中,File-> Create/Update -> Create Symbol Files

for Current File即可。

2.0—F自动循环显示电路图

3.下载到DEO开发板上验证

二.实验心得

经过这次实验,我对vhdl程序语言有了更多的了解,可以编写自己需要的电路程序,很有成就感,而且这几个实验循序渐进,不仅回顾了上课所学的数电知识,而且也是对自己动手能力钻研能力的一次锻炼,每次出错后,不得不回顾找问题,再一次的思考,总之过程还是很有趣的,自己的能力也有了很大的提高。

模电实验报告

模拟电子技术 实验报告 实验题目:放大电路的失真研究 学院:电子信息工程学院 专业: 姓名: 学号: 指导教师: 【2017年】

目录 一、实验目的与知识背景 (3) 1.1实验目的 (3) 1.2知识背景 (3) 二、实验内容及要求 (3) 2.1基本要求 (3) 2.2发挥部分 (4) 三、实验方案比较及论证 (5) 3.1理论分析电路的失真产生及消除 (5) 3.2具体电路设计及仿真 (8) 四、电路制作及测试 (12) 4.1正常放大、截止失真、饱和失真及双向失真 (12) 4.2交越失真 (13) 4.3非对称失真 (13) 五、失真研究思考题 (13) 六、感想与体会 (16) 6.1小组分工 (16) 6.2收获与体会 (16) 6.3对课程的建议 (17) 七、参考文献 (17)

一、实验目的与知识背景 1.1实验目的 1. 掌握失真放大电路的设计和解决电路的失真问题——针对工程问题,收集信息、查阅文献、分析现有技术的特点与局限性。提高系统地构思问题和解决问题的能力。 2. 掌握消除放大电路各种失真技术——依据解决方案,实现系统或模块,在设计实现环节上体现创造性。系统地归纳模拟电子技术中失真现象。 3. 具备通过现象分析电路结构特点——对设计系统进行功能和性能测试,进行必要的方案改进,提高改善电路的能力。 1.2知识背景 1.输出波形失真可发生在基本放大、功率放大和负反馈放大等放大电路中,输出波形失真有截止失真、饱和失真、双向失真、交越失真,以及输出产生的谐波失真和不对称失真等。 2.基本放大电路的研究、乙类功率放大器、负反馈消除不对称失真以及集成运放的研究与应用。 3.射极偏置电路、乙类、甲乙类功率放大电路和负反馈电路。 二、实验内容及要求 2.1基本要求 1.输入一标准正弦波,频率2kHz,幅度50mV,输出正弦波频率2kHz,幅度1V。

华科模电实验报告

华科模电实验报告 篇一:模电实验报告 国家电工电子实验教学中心 模拟电子技术实验报告 实验题目:放大电路的失真研究 学院:专业: 电子信息工程轨道交通信号与控制 韩佳伟 学生姓名: 合作者:蒋明宇李祥学号:任课教师: 13212065 白双 XX年6月16日 目录 实验报告 ................................................ ....................... 1 实验题目:放大电路的失真研究 ....................................... 1 1 实验题目及要

求 ................................................ ................. 2 2 实验目的与知识背景 ................................................ ......... 3 2.1 实验目的 ................................................ ....................... 3 2.2 知识点 ................................................ ......................... 3 2.3 非线性失真原理介绍 ................................................. 3 3 实验过程 ................................................ ............................. 4 3.1 选取的实验电路及输入输出波形................................ 4 1截止失真、饱和失真、双向失真.............................. 4 2交越失真 ................................................ ...................... 6 3非对称失真 ................................................ .................. 8 4增益带宽积 ................................................ .................. 9 5语音放大电路 ................................................

西工大2016数电实验报告1

实验1 TTL集成门电路逻辑变换 一、实验目的 (1)掌握各种TTL门电路的逻辑功能。 (2)掌握验证逻辑门电路功能的方法。 (3)掌握空闲输入端的处理方法。 二、实验设备 (1)数字电路实验箱 (2) 74LS00集成门电路 三、实验原理 门电路是数字逻辑电路的基本组成单元,门电路按逻辑功能可分为与门、或门、非门及与非门、或非门、异或门等。按电路结构组成的不同,可分为分立元件门电路、CMOS集成门电路、TTL集成门电路等。集成门电路通常封装在集成芯片内,一般有双列直插和表面贴装两种封装形式。实验中常用的封装形式为双列直插式。每个集成电路都有自己的代号,与代号对应的名称形象地说明了集成电路的用途。如74LS00是二输入端四与非门,它说明了这个集成电路中包含了四个二输入端的与非门。 四、实验内容 (1)测试74LS00四个与非门逻辑功能是否正常。用MULTISIM软件仿真之后,搭接实际电路图测试。 (2)用与非门实现“与”逻辑,用MULTISIM软件仿真之后,搭接实际电路图测试。

(3)用与非门实现“或”逻辑,用MULTISIM软件仿真之后,搭接实际电路图测试。 (4)用与非门实现“异或”逻辑,用MULTISIM软件仿真之后,搭接实际电路图测试。

五、实验结果 通过计算机仿真和搭建实际的电路图可得如下的真值表。 (1)测试74LS00四个与非门逻辑功能

(2)用与非门实现“与”逻辑 (3)用与非门实现“或”逻辑

(4)用与非门实现“异或”逻辑 思考题:用与非门实现 Y=AB+AC+BC,创建逻辑测试电路,记录测试真值表. (做了的同学请将电路图和真值表记在实验报告中.) (1)电路图如下:

模电实验报告答案1汇总

简要说明:本实验所有内容是经过^一年的使用并完善后的定稿;已经出版的较为成熟的内容,希望同学们主要参考本实验内容进行实验。 实验一常用电子仪器使用 为了正确地观察电子技术实验现象、测量实验数据,实验人员就必须学会常用电子仪器及设备的正确使用方法,掌握基本的电子测试技术,这也是电子技术实验课的重要任务之一。在电子技术实验中,所使用的主要电子仪器有:SS-7804型双踪示波器,EE-1641D函数信号发生器,直流稳压电源,DT89C型数字万用表和电子技术实验学习机。学习上述仪器的使用方法是本实验的主要内容,其中示波器的使用较难掌握,是我们学习的重点,要进行反复的操作练习,达到熟练掌握的目的。 一、实验目的 1. 学习双踪示波器、函数信号发生器、直流稳压电源的正 确使用方法。 2. 学习数字万用表的使用方法及用数字万用表测量元器 件、辩别二极管和三极管的管脚、类型。 3. 熟悉实验装置,学会识别装置上各种类型的元件。 二、实验内容

(一)、示波器的使用 1. 示波器的认识 示波器是一种测量、观察、记录电压信号的仪器,广泛应用于电子技术等领域。随着电子技术及数字处理技术的发展,示波器测量技术日趋完善。示波器主要可分为模拟示波器和数字存贮示波器两大种类。 模拟示波器又可分为:通用示波器、取样示波器、光电存储示波器、电视示波器、特种示波器等。数字存贮示波器也可按功能分类。 即便如此,它们各有各的优点。模拟示波器的优点是: ?可方便的观察未知波形,特别是周期性电压波形; ?显示速度快; ?无混叠效应; ?投资价格较低廉。 数字示波器的优点是: ?捕捉单次信号的能力强; ?具有很强的存储被测信号的功能。 示波器的主要技术指标: ①. 带宽:带宽是衡量示波器垂直系统的幅频特性,它 指的是输入信号的幅值不变而频率变化,使其显示波形的幅度 下降到3dB时对应的频率值。 ②. 输入信号范围: ③. 输入阻抗: ④. 误差: ⑤. 垂直灵敏度:指垂直输入系统的每格所显示的电压

模电实验报告常用电子仪器的使用

实验报告专业:姓名:学号:日期:桌号: 课程名称:模拟电子技术基础实验指导老师:蔡忠法成绩:________________ 实验名称:常用电子仪器的使用 一、实验目的 1. 了解示波器、函数信号发生器、毫伏表等电子仪器的基本原理。 2. 掌握示波器、函数信号发生器、毫伏表等电子仪器的使用方法。 二、实验器材 双踪示波器、函数信号发生器、晶体管毫伏表、数字万用表 三、实验内容 1. 示波器单踪显示练习 2. 函数信号发生器练习 3. 晶体管毫伏表练习 4. 示波器双踪显示练习 5. 测试函数发生器的同步输出波形 6. 数字万用表使用练习 四、实验原理、步骤和实验结果 1. 示波器单踪显示练习 实验原理: 实验步骤: 1) 探头连校准信号,在屏幕上调出稳定的波形。 2) 测量方波的幅度和频率。 3) 测量方波的上升沿和下降沿时间。

实验数据记录: 实验小结: 1) 测量上升时间和下降时间的方法是: 2) 示波器使用注意事项是: 2. 函数信号发生器练习 实验原理: 实验步骤: 1) 调节函数信号发生器输出三角波,送示波器显示稳定的波形。 2) 将频率分别调到1 kHz、10 kHz、100 Hz。 3) 将三角波幅度调到50mV(峰值)。 4) 从示波器中读出三角波频率。 实验数据记录: 实验小结: 函数信号发生器使用注意事项是:

3. 晶体管毫伏表练习 实验原理: 实验步骤: 1) 调节函数信号发生器输出1 k Hz正弦波,送示波器显示稳定的波形。 2) 调节幅度至约1.4V峰值(用示波器测量)。 3) 同时用毫伏表测正弦波有效值,调节正弦波幅度精确至有效值1V(用毫伏表测量)。 4) 从示波器中读出此时的正弦波幅值,记入表中。 实验数据记录: 4. 示波器双踪显示练习 实验原理: 实验步骤: 1) 示波器CH1、CH2均不加输入信号,采用自动触发方式。 2) 扫速开关置于扫速较慢位置(如0.5 s/div挡),将“显示方式”开关分别置为“交替” 和“断续”,观察并描述两条扫描线的显示特点。 3) 扫速开关置于扫速较快位置(如5μs/div挡),将“显示方式”开关分别置为“交替” 和“断续”,观察并描述两条扫描线的显示特点。 实验结果记录: 实验小结:(什么情况下用交替显示方式?什么情况下用断续显示方式?) 5. 测试函数发生器的同步输出波形 实验步骤:

西工大_数电实验_第四次实验_实验报告

数电实验4 一.实验目的 熟悉用仿真法研究数字电路实验的过程,实现一个彩灯控制电路。 熟练使用VHDL语言 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号: K1K0= 00 灯全灭 01 右移,循环显示 10 左移,循环显示 11 灯全亮 3.彩灯正常工作的同时,四个七段数码管循环显示第一个同学的学号后四位一秒,第二个同学的学号后四位一秒,全黑一秒。 四.实验原理 1.彩灯控制电路的程序如下: LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.std_logic_ARITH.ALL; USE IEEE.std_logic_UNSIGNED.ALL; ENTITY led IS port( clk:in std_logic; data_in:IN STD_LOGIC_VECTOR(1 DOWNTO 0); data_out:out std_logic_vector(3 downto 0); data_out1,data_out2,data_out3,data_out4:out std_logic_vector(6 downto 0)); END led; ARCHITECTURE control OF led IS CONSTANT m : INTEGER:= 25000000; BEGIN PROCESS(data_in,clk) V ARIABLE cout : INTEGER:=0; V ARIABLE i : INTEGER:=0; BEGIN IF clk'EVENT AND clk='1' THEN cout:=cout+1; --计数器+1 i:=i+1; --计数器+1

模电实验报告

模拟电子电路课程设计报告书 题目名称:直流稳压电源 姓名:刘海东潘天德 班级:15电科2 学号:23 26 日期:2017.6.11

目录 绪论 (2) 一设计目的 (3) 二设计要求与指标 (3) 三理论分析 (4) 四器件选择及计算 (9) 五具体制作步骤 (12) 六测试方法 (13) 七问题及总结 (15) 八心得体会 (17) 绪论 直流稳压电源一般由电源变压器,整流滤波电路及稳压电路所组成。变压器把市电交流电压变为所需要的低压交流电。整流器把交流电变为直流电。经滤波后,稳压器再把不稳定的直流电压变为稳定的直流电压输出。本设计主要采用直流稳压构成集成稳压电路,通过变压,整流,滤波,稳压过程将220V交流电,变为稳定的+/- 5v直流电,并实现电压可在8-15V连续可调。电源在生活中是非常常见的一种电器,任何电子电路都离不开电源,就像我们下学期即将学到的单片机一样,需要5V的直流电源,没有电源就不能进行正常的工作,如果用干电池进行供电,则有供电功率低,持续供电能力差,成本高等缺点。而交流电在产生、电能输送等方面具有独特的优点,发电站、各市电网中的电能传输都是以交流电的形式进行输送,如果我们对市电提供的电压进行降压整流等,把交流电转换成直流电,以获得我们所

需要的电压。 一设计目的 1.学习基本理论在实践中综合运用的初步经验,掌握模拟电路设计的基本方法、设计步骤,培养综合设计与调试能力。 2.学会直流稳压电源的设计方法和性能指标测试方法。 3.培养实践技能,提高分析和解决实际问题的能力。 二设计要求与指标 2.1设计要求 (1)分析电路组成及工作原理; (2)单元电路设计计算; (3)采用分立元件电路; (4)画出完整电路图; (5)调试方法; (6)小结与讨论。 2.2设计指标 (1)输出电压:8~15V可调 (2)输出电流:I O=1A (3)输入电压:交流 220V+/-10%

数电实验报告:实验4-计数器及应用161

广东海洋大学学生实验报告书(学生用表) 实验名称 课程名称 课程号 学院(系) 专业 班级 学生姓名 学号 实验地点 实验日期 实验4 计数器及其应用 一、实验目的 1、熟悉中规模集成计数器的逻辑功能及使用方法 2、掌握用74LS161构成计数器的方法 3、熟悉中规模集成计数器应用 二、实验原理 计数器是典型的时序逻辑电路,它是用来累计和记忆输入脉冲的个数.计数是数字系统中很重要的基本操作,集成计数器是最广泛应用的逻辑部件之一。计数器种类较多,按构成计数器中的多触发器是否使用一个时钟脉冲源来分,有同步计数器和异步计数器;根据计数制的不同,可分为二进制计数器、十进制计数器和任意进制计数器;根据计数的增减趋势,又分为加法、减法和可逆计数器。还有可预置数和可编程序功能计数器等。本实验主要研究中规模十进制计数器74LS161的功能及应用。 1、中规模集成计数器 74LS161 是四位二进制可预置同步计数器,由于它采用4 个主从JK 触发器作为记忆单元,故又称为四位二进制同步计数器,其集成芯片管脚如图1所示: 管脚符号说明:电源正端Vcc ,接+5V ;异步置零(复位)端Rd ;时钟脉冲CP ;预置数控制端 A 、B 、C 、D ;数据输出端 QA 、QB 、QC 、QD ;进位输出端 RCO :使能端EP ,ET ;预置端 LD ; 图1 74LS161 管脚图 GDOU-B-11-112

该计数器由于内部采用了快速进位电路,所以具有较高的计数速度。各触发器翻转是靠时钟脉冲信号的正跳变上升沿来完成的。时钟脉冲每正跳变一次,计数器内各触发器就同时翻转一次,74LS161的功能表如表1所示: 表1 74LS161 逻辑功能表 2、实现任意进制计数器 由于74LS161的计数容量为16,即计16个脉冲,发生一次进位,所以可以用它构成16进制以内的各进制计数器,实现的方法有两种:置零法(复位法)和置数法(置位法)。 (1) 用复位法获得任意进制计数器假定已有N进制计数器,而需要得到一个M进制计数器时,只要M<N,用复位法使计数器计数到M时置“0”,即获得M进制计数器。 (2) 利用预置功能获M进制计数器置位法与置零法不同,它是通过给计数器重复置入某个数值的的跳越N-M个状态,从而获得M进制计数器的,如图所法。置数操作可以在电路的任何一个状态下进行。这种方法适用于有预置功能的计数器电路。图2是上述二种方法的原理示意图。 图2(a) 图2(b) 三、实验内容与步骤 1、测试74LS161的逻辑功能。 2、在熟悉74LS161逻辑功能的基础上,利用74LS161设计9进制计数器。 附图74ls00和74ls20

模电课程设计实验报告分析

模电课程设计实验报告 实验内容:一、设计并制作一个能输出+5V 电压的直流稳压电源,输入电压为直流9V。二、利用课程设计(一)制作的电源、电压比较器、电压跟随器设计,驱动三 极管,通过可调电阻,控制LED灯的点亮和熄灭。 实验要求:(1)设计出+5V 直流稳压电源的电路原理图; (2)在万用板上焊接组装给定的元器件并进行调试,输入电压没有极性之分, 输出电压+5V,并点亮电源指示灯(红色); (3)设计一款电压比较器A,参考电压2.5V; (4)设计一款电压跟随器B,跟随电压比较器A 的电压; (5)驱动三极管,通过可调电阻,实现对LED(绿色)灯的控制; (6)完成课程设计报告的撰写。 实验原理: 一、制作稳定电压源 采用二极管、集成运放、电阻、稳压管、电容、二极管、LED发光二极管等元件器件。 输入电压为9V 的直流电源经桥式整流电路和滤波电路形成稳定的直流电源,稳压部分采用 串联型稳压电路。比例运算电路的输入电压为稳定电压;同时,为了扩大输出大电流,集 成运放输出端加晶体管,并保持射极输出形式,就构成了具有放大环节的串联型稳压电路。整体功能结构如图 直流9V 1、单相桥式整流电路 直流5V 为了将电压转换为单一方向的电压,通过整流电路实现。查阅资料可知单相整流电路有单相桥式整流电路(全波整流电路)。桥式整流电路巧妙地利用了二极管的单向导电性,将四个二极管分为两组,根据变压器次级电压的极性分别导通,将变压器次级电压的正极性端与负载电阻的上端相连,负极性端与负载电阻的下端相连,使负载上始终可以得到一个单方向的脉动电压。单相桥式整流电路,具有输出电压高,变压器利用率高、脉动系数小等优点。所以在电路中采用单相桥式整流电路。 2、滤波电路 整流电路滤波电路稳压电路

模电实验总结报告

自动化一班121111129 模电实验心得体会 在本学期的模电实验中学习并实践了几个实验项目,如常用电子仪器的使用;晶体管共射极单管放大器;射极跟随器集成运算放大器的基本应用;负反馈放大电路;差分放大电路;电压比较器等试验。 实验中,学习了示波器、信号发生器、毫伏表、万用表、稳压电源仪器的使用方法,也见到了理论课上学过的三极管、运放等元件的实际模样,结合不同的电路图进行了实验。当学过的理论知识付诸实践的时候,对理论本身会有更具体的了解,各种实验方法也为日后更复杂的实验打下了良好的基础。 由于我个人对模电理论的不甚了解,所以在实验原理方面理解起来可能会比较吃力,但半学期下来发现理论知识并没有占过多的比例,而主要是实验方法与解决问题的方法。经过很多次的实验我发现自己可以很快的接受上课所学的内容。在我们第一次上实验对实验器材都不是很了解,但是我们花费两节课才对实验器材有了深刻的理解!而我们的预习实验担当了不可或缺的作用,一旦对整个实验有了概括的了解,对理论也有了掌握,那实验做起来就会很快很顺手,而如果没有做好预习工作,对该次实验的内容没有进行详细的了解,就会在那里问东问西不知所措,以致效率较低,完成的时间较晚。再就是在实验中要养成好习惯,比如说:检查仪器和各元件(尤其如二极管等已损坏元件)是否损坏;各仪器的地线要注意接好;若稳压源的电流示数过大,证明电路存在问题,要及时切断电路以免元件的损坏,再调试电路;使用示波器前先检查仪器是否故障,一台有问题的示波器会给实验带来很多麻烦。就我个人而言,感觉对模拟电路的理论知识了解的还可以,因此在做实验时完全感觉是在对课堂上理论知识的复习,也是对理论知识的检验,俗话说:时间是检验真知的唯一标准。此次实验对我而言也试验正他的正确性! 通过这学期的模电试验课程,让我学习到了很多之前不知道的只是,在理论课程学习的基础上,通过试验的方式更加直观的体现了理论依据。模电这门课程不仅仅让我学到了专业课程的知识,更让我理解了很多的学习方法,这些学习方法不仅在模电这门课程上能够得到很好的使用,在今后我的其他课程的学习中,更能教会我怎么从开始的一无所有到最后详细的去了解一门课程。并且,模电实验这门课程充分的磨练了我的性格,因为我一直是个性格比较急躁的人,没有什么耐心,但是模电实验却是一个需要静下心来仔细去完成的东西,所以在每次的实验做不出自己想要的结果的时候,虽然有时很急躁,但是还是鼓起勇气一次次的做下去,对我以后的人生也会有很大的帮助。 总之,通过本学期模电实验课程的学习,让我体会到了模电这门课程的难度,单同时更让我体会到了其中的乐趣,磨练了自己的性格,同时更让我得到了一些不仅仅局限于课本的知识。可以说真的让我做到受益匪浅。最后,感谢老师半学期来对学生的教诲和指导!

西工大-数电实验-第二次实验-实验报告

数电实验2 一.实验目的 1.学习并掌握硬件描述语言(VHDL 或 Verilog HDL);熟悉门电路的逻辑功能,并用硬件描述语言实现门电路的设计。 2.熟悉中规模器件译码器的逻辑功能,用硬件描述语言实现其设计。 3.熟悉时序电路计数器的逻辑功能,用硬件描述语言实现其设计。 4.熟悉分频电路的逻辑功能,并用硬件描述语言实现其设计。 二.实验设备 1.Quartus开发环境 2.ED0开发板 三.实验内容 要求1:编写一个异或门逻辑电路,编译程序如下。 1)用 QuartusII 波形仿真验证; 2)下载到DE0 开发板验证。 要求2:编写一个将二进制码转换成 0-F 的七段码译码器。 1)用 QuartusII 波形仿真验证; 2)下载到 DE0 开发板,利用开发板上的数码管验证。 要求3:编写一个计数器。 1)用QuartusII 波形仿真验证; 2)下载到 DE0 开发板验证。 要求4:编写一个能实现占空比 50%的 5M 和50M 分频器即两个输出,输出信号频率分别为 10Hz 和 1Hz。 1)下载到 DE0 开发板验证。(提示:利用 DE0 板上已有的 50M 晶振作为输入信号,通过开发板上两个的 LED 灯观察输出信号)。 2)电路框图如下: 扩展内容:利用已经实现的 VHDL 模块文件,采用原理图方法,实现 0-F 计数自动循环显示,频率 10Hz。(提示:如何将 VHDL 模块文件在逻辑原理图中应用,参考参考内容 5) 四.实验原理 1.实验1实现异或门逻辑电路,VHDL源代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL;

模电课设实验报告

河北科技大学 课程设计报告 学生姓名:xxx学号:120701103 专业班级:xxx 课程名称:模拟电子技术基础 学年学期:2 013 —2 014 学年第一学期指导教师:王彦朋蔡明伟 2 0 1 3 年12 月

课程设计成绩评定表

目录 一任务.................................................................................................................. - 1 - 二电路原理图...................................................................................................... - 1 - 三单元电路设计.................................................................................................. - 1 - 1.稳压电源单元电路设计............................................................................... - 1 - 2.正弦波单元电路设计................................................................................... - 2 - 3.方波单元电路设计....................................................................................... - 3 - (1)过零比较器及限幅电路.................................................................. - 3 - (2)反相比例运算放大电路.................................................................. - 4 - 4.三角波单元电路设计................................................................................... - 5 - 四元件明细表...................................................................................................... - 6 - 五安装与调试...................................................................................................... - 7 - 六收获体会.......................................................................................................... - 7 - 七附录.................................................................................................................. - 8 - 八参考文献.......................................................................................................... - 8 -

数电实验报告1-数电实验报告实验一

实验一门电路逻辑功能及测试 一、实验目得 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路得引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机就是否正常,然后选择实验用得集成电路,按自己设计得实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1、1置位,分别测出电压及逻辑状态。(表1、1)

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。 (2)将电平开关按表1、2置位,将结果填入表中。 表1、2 3、逻辑电路得逻辑关系

(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分 别填入表1、3﹑表1、4。 (2)写出上面两个电路得逻辑表达式。 表1、3 Y=A ⊕B 表1、4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间得测量 用六反相器(非门)按图1、5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门得平均传输延迟时间得tpd 值 : tpd =0、2μs/6=1/30μs 5、利用与非门控制输出。 选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S对输出脉冲得控制作用: 一端接高有效得脉冲信号,另一端接控制信号。只有控制信号端为高电平时,脉冲信号才能通过。这就就是与非门对脉冲得控制作用。 6.用与非门组成其她门电路并测试验证 (1)组成或非门。 用一片二输入端与非门组成或非门 Y = A+ B = A ? B 画出电路图,测试并填表1、5 中。 表1、5 图如下: (2)组成异或门 ① 将异或门表达式转化为与非门表达式。 A ⊕B={[(AA)'B]'[A( B B)']}' ② 画出逻辑电路图。 ③ 测试并填表1、6。表1、6

模电实验报告

模拟电子技术基础实验报告 姓名:蒋钊哲 学号:2014300446 日期:2015、12、21 实验1:单极共射放大器 实验目的: 对于单极共射放大电路,进行静态工作点与输入电阻输出电阻的测量。 实验原理: 静态工作点的测量就是指在接通电源电压后放大器输入端不加信号(通过隔直电容 将输入端接地)时,测量晶体管集电极电流I CQ 与管压降V CEQ 。其中集电极电流有两种测量 方法。 直接法:将万用表传到集电极回路中。 间接法:用万用表先测出R C 两端的电压,再求出R C 两端的压降,根据已知的R E 的阻值,计 算I CQ 。 输出波底失真为饱与失真,输出波顶失真为截止失真。 电压放大倍数即输出电压与输入电压之比。 输入电阻就是从输入端瞧进去的等效电阻,输入电阻一般用间接法进行测量。 输出电阻就是从输出端瞧进去的等效电阻,输出电阻也用间接法进行测量。实验电路:

实验仪器: (1)双路直流稳压电源一台。 (2)函数信号发生器一台。 (3)示波器一台。 (4)毫伏表一台。 (5)万用表一台。 (6)三极管一个。 (7)电阻各种组织若干。 (8)电解电容10uF两个,100uF一个。 (9)模拟电路试验箱一个。 实验结果: 经软件模拟与实验测试,在误差允许范围内,结果基本一致。 实验2:共射放大器的幅频相频 实验目的: 测量放大电路的频率特性。 实验原理: 放大器的实际信号就是由许多频率不同的谐波组成的,只有当放大器对不同频率的放大能力相同时,放大的信号才不失真。但实际上,放大器的交流放大电路含有耦合电容、旁路电容、分布电容与晶体管极间电容等电抗原件,即使得放大倍数与信号的频率有关,此关系为频率特性。 放大器的幅频特性就是指放大器的电压放大倍数与输入信号的频率之间的关系。在一端频率范围内,曲线平坦,放大倍数基本不变,叫作中频区。在中频段以外的频率放大倍数都会变化,放大倍数左右下降到0、707倍时,对应的低频与高频频率分别对应下限频率与上限频率。 通频带为: f BW=f H-f L 实验电路:

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

模电实验报告 二极管使用

模拟电路实验二——二极管实验报告 0 石媛媛 1、绘制二极管的正向特性曲线(测试过程中注意控制电流大小): 一开始,我用欧姆表测量了二极管电阻,正向基本无电阻,反向电阻确实是很大。 然后我们测量其输出特性曲线,发现很吻合: 1、在电压小于某一值时确实没有电流,之后一段电流很小(几毫安~几十毫安); 2、当二极管两端电压大于左右时电流急剧增大(后测试二极管正向压降约为),这个就是其 正向导通电压。二极管被导通后电阻很小,(图中可看出斜率很大,近似垂直)相当于短路。

3、当我们使电压反向,电流基本为零,但是当电压大于某一值(反向击穿电压)时电流又开始增大。 2、焊接半波整流电路,并用示波器观察其输入输出波形,观察正向压降对整流电路的影响;电路图: 方波正弦波

三角波 半波整流电路的效果:输出信号只有正半周期(或负半周期),这就把交流电变为直流电。这是由于二极管的单向导电性。但是电的利用效率低,只有一半的线信号被保留下来。 3、焊接桥式整流电路,并用示波器观察其输入输出波形; 电路图: 桥式整流电路是全波整流,在电压正向与反向时,分别有两个管子处于正向导通区、两个管子在反向截止区,从而使输出电压始终同向。而且电压在整个周期都有输出,效率高。

但是发现桥式整流电路的输出信号(尤其是三角波时)未达到理想波形,应该是电路板焊接的焊接点不够牢固或其他问题导致信号的微失真。 5、使用二极管设计一个箝位电路,能把信号(0-10V)的范围限制在3V~5V之间: 设计的电路: 电路原理:当输入信号在0—4V时,4V>U1,二极管正向导通;输出电压稳定在4V左右当输入信号在4V—10V时,二极管反偏,相当于断路,此时电路由电源,1K电阻,51Ω电阻构成。因为要想使输出值小于5V,所以我选择了一个较小阻值电阻和一个大阻值电阻串联,这样51Ω电阻分压小,故输出电压一直小于5V,起到了钳位效果。 实验数据: 输入电压/V输出电压/V 4 6 10

模电实验心得体会

模电实验心得体会 模电实验心得体会 模电实验心得体会1 在这个学期中,我们一共完成了从常用电子仪器的适用到串联稳压电源等九个实验课题。具体的实验情况在实验报告中已经很清楚的反映了。在此,我想谈谈我的心得体会。 首先,我们在试验中面临着很多问题。实验仪器就是其中之一。实验室中的很多仪器(示波器、交流毫伏表等)确实是由于年代久远而不能正常工作。但我发现,很多同学在实验现象没出来的情况下就借口说是实验仪器的问题。其实不然。很多情况下,仪器没有调试好导致现象不明显或者与理论相差甚远。在做共射共集放到电路实验中,有与我粗心,没有加旁路电容,从而导致放大倍数很小。后经过几次检查,方恍然大悟。那次试验后,我做实验变得更加的耐心。在连接电路前,都会认真分析一下实验原理。然后根据实验指导书上的步骤一步一步的来做。果然,出现错误的几率小了很多。 其次,做实验要养成好的习惯。很多同学在做实验的时候态度很随便。没有注意诸如:连线之前检查导线是否导通、用三用表测电阻时不质疑短接调零、链接电路是带电操作等等。也许,在很多人看来这些都是小问题。但真正每一次都做到一丝不苟,养成良好的习惯的同学并不多。 最后,我想说的是实验的目的。刚开始,我认为实验是一项任

务。只要完成了就行。无非就是照着课本连连线、得出个已经计算好的结果就行了。但自从自己做功放后我改变了这种看法。在做功放的时候,虽然原理图都是被人提前设计好的。但是在做得时候总是会需要自己去调试、布线。有时候看似链接的很完美的电路。 可能会因为某个地方的虚焊而不能工作。这种情况非常锻炼你能力。在找错误的地方的时候你自然而然的明白了电路的原理。功放主要包括电源和放大两个部分。基本上我们所学的一些基础内容都包含在内。而且当完成一个自己独立完成的功放后,会有一种成就感。实验跟课本的理论相结合,在课本中学习,在实验中检验。在试验中发现,用课本知识去分析。兴趣就在这一个个的试验中激发了。 当然,我明白:大学的最终目的不是让我们去做一些诸如功放、摇摇棒之类的东西,而是锻炼我们去探索、去发现、去学习的能力。以可能做的某项东西很简单或者没有做成功。但那并不是失败,因为你已经学习到了许多。耐心并且细心的去做每一步,坚持严谨的态度做到最后。每一个人都是成功者。 模电实验心得体会2 在本学期的模电实验中一共学习并实践了六个实验项目,分别是:①器件特性仿真;②共射电路仿真;③常用仪器与元件;④三极管共射级放大电路;⑤基本运算电路;⑥音频功率放大电路。 实验中,我学到了PISPICE等仿真软件的使用与应用,示波器、信号发生器、毫伏表等仪器的使用方法,也见到了理论课上学过的三极管、运放等元件的实际模样,结合不同的电路图进行了实验。当学

数电实验实验报告(Quartus)数码管循环显示

实验10 1、结果:同时显示012345 代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY sweep IS PORT( clk,clr:IN STD_LOGIC; --clk输入时钟;clr状态清零 a:OUT STD_LOGIC_VECTOR (6 DOWNTO 0); --输出数码管相同段 sweep:OUT STD_LOGIC_VECTOR (5 DOWNTO 0) --输出cat(数码管选通控制信号)端); END sweep; ARCHITECTURE sweep_arch OF sweep IS SIGNAL sweep_arc:STD_LOGIC_VECTOR (5 DOWNTO 0); --声明内部信号(选通控制信号)SIGNAL b:STD_LOGIC_VECTOR (6 DOWNTO 0); --声明内部信号(相同段信号) BEGIN PROCESS(sweep_arc) BEGIN IF (clk'event and clk='1') THEN IF clr='0' THEN --状态清零 sweep_arc <="011111" ; b <="0000000"; ELSE case sweep_arc IS --选通控制信号 WHEN"011111" => sweep_arc <="111110"; WHEN"111110" => sweep_arc <="111101"; WHEN"111101" => sweep_arc <="111011"; WHEN"111011" => sweep_arc <="110111"; WHEN"110111" => sweep_arc <="101111"; WHEN"101111" => sweep_arc <="011111"; WHEN OTHERS => sweep_arc <="011111"; END CASE; CASE sweep_arc IS --相同段信号 WHEN"011111" => b <="1011011"; WHEN"101111" => b <="0110011"; WHEN"110111" => b <="1111001"; WHEN"111011" => b <="1101101"; WHEN"111101" => b <="0110000"; WHEN"111110" => b <="1111110";

东南大学模电实验报告模拟运算放大电路

东南大学电工电子实验中心 实验报告 课程名称:模拟电路实验 第一次实验 实验名称:模拟运算放大电路(一)院(系):专业: 姓名:学号: 实验室: 实验组别: 同组人员:实验时间: 评定成绩:审阅教师:

实验一模拟运算放大电路(一) 一、实验目的: 1、熟练掌握反相比例、同相比例、加法、减法等电路的设计方法。 2、熟练掌握运算放大电路的故障检查和排除方法,以及增益、传输特性曲线的测量方法。 3、了解运放调零和相位补偿的基本概念。 二、实验原理: 1、反向比例放大器 反馈电阻R F值一般为几十千欧至几百千欧,太大容易产生较大的噪声及漂移。R的取值则应远大于信号源v i的内阻。 若R F= R,则为倒相器,可作为信号的极性转换电路。 2、电压传输特性曲线 双端口网络的输出电压值随输入电压值的变化而变化的特性叫做电压传输特性。电压传输特性在实验中一般采用两种方法进行测量。一种是手工逐点测量法,另一种是采用示波器X-Y方式进行直接观察。 示波器X-Y方式直接观察法:是把一个电压随时间变化的信号(如:正弦波、三角波、锯齿波)在加到电路输入端的同时加到示波器的X通道,电路的输出信号加到示波器的Y 通道,利用示波器X-Y图示仪的功能,在屏幕上显示完整的电压传输特性曲线,同时还可以测量相关参数。 具体测量步骤如下: (1) 选择合理的输入信号电压,一般与电路实际的输入动态范围相同,太大除了会影响测量结果以外还可能会损坏器件;太小不能完全反应电路的传输特性。 (2) 选择合理的输入信号频率,频率太高会引起电路的各种高频效应,太低则使显示的波形闪烁,都会影响观察和读数。一般取50~500Hz 即可。 (3) 选择示波器输入耦合方式,一般要将输入耦合方式设定为DC,比较容易忽视的是在X-Y 方式下,X 通道的耦合方式是通过触发耦合按钮来设定的,同样也要设成DC。 (4) 选择示波器显示方式,示波器设成X-Y 方式,对于模拟示波器,将扫描速率旋钮逆时针旋到底就是X-Y 方式;对于数字示波器,按下“Display”按钮,在菜单项中选择X-Y。 (5) 进行原点校准,对于模拟示波器,可把两个通道都接地,此时应该能看到一个光点,调节相应位移旋钮,使光点处于坐标原点;对于数字示波器,先将CH1 通道接地,此时显示一条竖线,调节相应位移旋钮,将其调到和Y 轴重合,然后将CH1 改成直流耦合,CH2 接地,此时显示一条水平线,调节相应位移旋钮,将其调到和X 轴重合。 3、电压增益(电压放大倍数A V) 电压增益是电路的输出电压和输入电压的比值,包括直流电压增益和交流电压增益。实验中一般采用万用表的直流档测量直流电压增益,测量时要注意表笔的正负。 交流电压增益测量要在输出波形不失真的条件下,用交流毫伏表或示波器测量输入电压V i(有效值)或V im(峰值)或V ip-p(峰-峰值)与输出电压V o(有效值)或V om(峰值)或 V op-p(峰-峰值),再通过计算可得。

相关主题
文本预览
相关文档 最新文档