当前位置:文档之家› 基于单片机控制的篮球计分系统设计

基于单片机控制的篮球计分系统设计

基于单片机控制的篮球计分系统设计
基于单片机控制的篮球计分系统设计

基于单片机控制的篮球计分系统设计

Based on Microcontroller basketball scoring system design

摘要

当下篮球运动越来越受大众欢迎,篮球比赛也越来越多,传统记分牌不够方便,该设计基于89C51单片机设计,可满足一般篮球赛事需要,具有比赛小节计时显示、24秒计时以及比赛分数的实时显示功能,比赛小节时间计时及24秒计时都使用倒计时显示,可有裁判控制开始、暂停,比赛分数使用双方各使用三位七段数码管显示,也由裁判控制分数加减。整个系统结构简单实用,运行稳定,方便操作。

关键字:记分牌、单片机、计时

Summary

Moment more and more popular basketball, basketball game more and more, traditional scoreboard is not convenient enough, the design is based on 89C51 microcontroller designed to meet the general needs basketball tournament, with game time display section, 24 seconds chronograph and game scores real-time display function, the game section and 24 seconds time timekeeping timing countdown display is used, the referee can control start, pause, game scores with two sides each with three seven-segment LED display, also controlled by the referee fraction addition and subtraction. The entire system is simple and practical, stable, easy to operate.

Keywords: Scoreboard, microcontroller, timing

目录

前言 (3)

一、系统方案设计 (4)

1.1 总体方案 (4)

1.1.1 设计内容 (4)

1.1.2 设计要求 (4)

1.2 系统组成 (4)

二、硬件设计 (5)

2.1 AT89C51的介绍 (5)

2.2 复位电路 (5)

2.3 键盘控制电路 (5)

2.4 显示电路 (6)

三、软件设计 (7)

3.1 主程序设计 (7)

3.2 键盘识别及处理程序设计 (8)

3.3 显示子程序设计 (9)

四、系统调试 (9)

4.1 软件模拟调试 (9)

五、总结 (9)

附录 (11)

电路原理图: (11)

程序源代码: (12)

前言

体育比赛记分系统是对体育比赛过程中所产生的比分等数据进行快速采集记录,加工处理,传递利用的信息系统。根据不同运动项目的不同比赛规则要求,体育比赛的记分系统包括测量类,评分类,命中类,制胜类得分类等多种类型。

比如篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的记分系统是一种得分类型的系统。篮球比赛的记分系统由计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛记分系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。

由于单片机的集成度高,功能强,通用性好,特别是它具有体积小,重量轻,能耗低,价格便宜,可靠性高,抗干扰能力强和使用方便等独特的优点,使单片机迅速得到了推广应用,目前已经成为测量控制应用系统中的优选机种和新电子产品的关键部位。世界各大电气厂家,测控技术企业,机电行业,竞相把单片机应用于产品更新,作为实现数字化,智能化的核心部件。篮球计时计分器就是以单片机为核心的计时计分系统,由计时器,计分器,综合控制器和24秒控制器等组成。

一、系统方案设计

1.1 总体方案

此记分器的设计采用模块化结构,主要由以下2个组成,即键盘模块、以及显示模块。以单片机为核心,配以一定的外围电路和软件,以实现比赛计分器的功能。它由硬件部分和软件部分组成。系统设计方案的硬件电路设计方框图如图所示。

硬件电路设计方框图

针对该系统的设计,设计过程如下展开:

(1)需求分析。了解设计所需功能,并提出相应解决方法。

(2)方案选定。根据需求,选择一套可行高效的方案。

(3)芯片选择。根据所选的方案,选用合适的芯片、器件、编程语言等。

(4)设计原理图。采用选择的方案、器材,查阅资料、绘制电路原理图。

(5)程序设计。根据原理图及功能要求绘制程序流程图,并编程调试程序直至程序可满足需求。

(6)电路仿真。通过proteus软件仿真电路,改进不足之处。

1.1.1 设计内容

(1)给甲、乙两队分别设置加分按钮,各按钮按下分别实现给甲、乙队加分。

(2)给甲、乙两队分别设置减分按钮,各按钮按下分别实现给甲、乙队减分。

(3)设置一个复位按钮,按下实现甲、乙队总分回到初始分及显示。

(4)设置计时开始/暂停按钮,实现时间的暂停/开始。

(5)设置24秒倒计时启动按钮,按下启动24秒计时。

1.1.2 设计要求

(1)方案合理、正确,系统稳定、可靠。

(2)软件设计要求尽可能精练、简短和运行可靠。

(3)硬件电路要求简单明了,以节约成本。

1.2 系统组成

硬件电路由复位按钮、80C51单片机、键盘和两个12个共阳极数码管、蜂鸣器等组成。

软件部分主程序主要由系统初始化段、键盘识别、键值处理、数码管显示、计时子程序组成。

二、硬件设计

2.1 AT89C51的介绍

AT89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。AT89C2051是一种带2K字节闪烁可编程可擦除只读存储器的单片机。单片机的可擦除只读存储器可以反复擦除100次。该器件采用ATMEL 高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,AT89C2051是它的一种精简版本。AT89C51单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。

2.2 复位电路

为确保微机系统中电路稳定可靠工作,复位电路是必不可少的一部分,复位电路的第一功能是上电复位。一般微机电路正常工作需要供电电源为5V±5%,即4.75~5.25V。由于微机电路是时序数字电路,它需要稳定的时钟信号,因此在电源上电时,只有当VCC超过4.75V低于5.25V以及晶体振荡器稳定工作时,复位信号才被撤除,微机电路开始正常工作。

目前为止,单片机复位电路主要有四种类型:

(1)微分型复位电路;

(2)积分型复位电路;

(3)比较器型复位电路;

(4)看门狗型复位电路

2.3 键盘控制电路

在键盘中按键数量较多时,为了减少I/O口的占用,通常将按键排列成矩阵形式。在矩阵式键盘中,每条水平线和垂直线在交叉处不直接连通,而是通过一个按键加以连接。这样,一个端口(如P1口)就可以构成4*4=16个按键,比之直接将端口线用于键盘多出了一倍,而且线数越多,区别越明显,比如再多加一条线就可以构成20键的键盘,而直接用端口线则只能多出一键(9键)。如图所示。

矩阵式键盘电路

需要的键数比较多时,采用矩阵法来做键盘是合理的。

矩阵式结构的键盘显然比直接法要复杂一些,识别也要复杂一些,上图中,列线通过电阻接正电源,并将行线所接的单片机的I/O口作为输出端,而列线所接的I/O口则作为输入。这样,当按键没有按下时,所有的输出端都是高电平,代表无键按下。行线输出是低电平,一旦有键按下,则输入线就会被拉低,这样,通过读入输入线的状态就可得知是否有键按下了。具体的识别及编程方法如下所述。

矩阵式键盘的按键识别方法

确定矩阵式键盘上何键被按下介绍一种“行扫描法”。行扫描法行扫描法又称为逐行(或列)扫描查询法,是一种最常用的按键识别方法,如上图所示键盘,介绍过程如下。

判断键盘中有无键按下将全部行线Y0-Y3置低电平,然后检测列线的状态。只要有一列的电平为低,则表示键盘中有键被按下,而且闭合的键位于低电平线与4根行线相交叉的4个按键之中。若所有列线均为高电平,则键盘中无键按下。

判断闭合键所在的位置在确认有键按下后,即可进入确定具体闭合键的过程。其方法是:依次将行线置为低电平,即在置某根行线为低电平时,其它线为高电平。在确定某根行线位置为低电平后,再逐行检测各列线的电平状态。若某列为低,则该列线与置为低电平的行线交叉处的按键就是闭合的按键。

2.4 显示电路

显示器采12个共阳极数码管,来实现显示器的动态扫描,动态扫描的频率有一定的要求,频率太低,LED将出现闪烁现象。如频率太高,由于每个LED点亮的时间太短,LED的亮度太低,肉眼无法看清,所以一般均取几个ms左右为

宜,这就要求在编写程序时,选通某一位LED使其点亮并保持一定的时间,程序上常采用的是调用延时子程序。显示模块如下图所示:

显示模块电路原理图

三、软件设计

3.1 主程序设计

主程序主要由系统初始化段、开中断、键盘识别、键值处理、两个4位共阴极LED显示器扫描显示子程序和中断处理子程序等组成。通过对以上各段和子程序的结合,以实现系统功能。该系统主程序流程图如下图所示。

主程序流程图

3.2 键盘识别及处理程序设计

按键识别及处理程序主要由键盘识别和键值处理组成。其中键盘识别子程序不断地对键盘进行判断是否有键按下。当有键按下时则转到键码处理即甲、乙总分处理子程序对相应按键进行相应处理,即可实现对甲、乙两队总分的计算与处理。键盘如下图所示:

键盘电路原理图

在时间暂停时,自定义可调节比赛时间,计时过程中可加减两队分数,按下“启动24秒计时”,24秒计时模块开始工作,进行24秒计时,当再次按下时则重新装载24秒计时器并开始计时。按下“复位”,系统初始化至原始状态。

3.3 显示子程序设计

由于该系统使用的是12位共阳数码管显示,因此显示采用动态扫描显示方法,即由显示器扫描显示子程序控制显示器逐个循环从左至右依次点亮各个显示器。这样虽然在任一时刻只要一个显示器点亮,但是由于人眼具有视觉残留效应,看起来与全部显示器持续点亮效果一样。

四、系统调试

4.1 软件模拟调试

本设计主要是用proteus软件绘图以及伟福软件进行编程仿真的。调试步骤由两个部分组成:首先,确定程序中错误的确切性质和位置;然后,对程序代码进行分析,确定问题的原因,并设法改正这个错误。具体地说,由以下步骤组成:

1.从错误的外部表现入手,确定程序中出错的位置;

2.分析有关程序代码,找出错误的内在原因;

3.修改程序代码,排除这个错误;

4.重复进行暴露了这个错误的原始测试以及某些回归测试,以确保该错误确实被排除且没有引入新的错误;

5.如果所作的修正无效,则撤消这次改动,重复上述过程,直到找到一个有效的办法为止。

五、总结

在这次系统设计中,同学之间互相讨论、帮助,有什么不懂的大家在一起商量,发现我们所学的知识实在是有限,不过我们能够充分利用网络的优势去查阅资料。

我们在整个设计过程中懂得了许多东西,当遇到一个解决不了的问题,跟同伴一起商量、合作,或许能收到事半功倍的效果。不管学什么,一定要打好基础,并将其学好、学精,但空有知识也不一定就能说明什么,还得能为己所用;还有更重要的是培养了独立思考和设计的能力,树立了对知识应用的信心,相信会对今后的学习工作和生活有非常大的帮助,并且提高了自己的动手实践操作能力,使自己充分体会到了在设计过程中的成功喜悦。虽然这个设计做的不是很完美,但是在设计过程中所学到的东西是这次课程设计的最大收获和财富,使我们终身受益。

附录

电路原理图:

程序源代码:

//*******篮球记分系统设计********

#include

#define LEDData P0

unsigned char code

LEDCode[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f};

unsigned char minit,second,second1,count; //分,秒,24秒,计数器sbit add1=P1^0; //甲对加分,每按一次加1分时间暂停时为时间分+1 sbit dec1=P1^1; //甲对减分,每按一次减1分时间暂停时为时间分-1 sbit add2=P1^2; //乙对加分,每按一次加1分时间暂停时为时间秒+1 sbit dec2=P1^3; //乙对减分,每按一次减1分时间暂停时为时间秒-1 sbit exch=P1^4; //小节结束重置时间

sbit secondpoint=P0^7; //秒闪动点

sbit led1=P2^7; //数码管位控制

sbit led2=P2^6; //数码管位控制

sbit led3=P2^5; //数码管位控制

sbit led4=P2^4; //数码管位控制

sbit led5=P2^3; //数码管位控制

sbit led6=P2^2; //数码管位控制

sbit led7=P2^1; //数码管位控制

sbit led8=P2^0; //数码管位控制

sbit led9=P3^7; //数码管位控制

sbit led10=P3^6; //数码管位控制

sbit led11=P3^5; //数码管位控制

sbit led12=P3^4; //数码管位控制

sbit alam=P1^7; //报警

sbit alam1=P1^6; //24秒报警

bit playon=0; //比赛进行标志位,为1时表示比赛开始,计时开启bit timeover=0; //比赛结束标志位,为1时表示时间已经完bit AorB=0; //AB队标志位

bit halfsecond=0; //半秒标志位

unsigned int scoreA; //甲队得分

unsigned int scoreB; //乙队得分

void Delay5ms(void)

{

unsigned int i;

for(i=100;i>0;i--);

}

void display(void)

{

//***************显示时间分*******************

LEDData=LEDCode[minit/10]; //十位

led1=0;

Delay5ms();

led1=1;

LEDData=LEDCode[minit%10]; //个位

led2=0;

Delay5ms();

led2=1;

//****************秒点闪动********************** if(halfsecond==1)

LEDData=0x80;

else

LEDData=0x00;

led2=0;

Delay5ms();

led2=1;

secondpoint=0;

//*****************显示时间秒******************** LEDData=LEDCode[second/10];

led3=0;

Delay5ms();

led3=1;

LEDData=LEDCode[second%10];

led4=0;

Delay5ms();

led4=1;

//******************24秒倒计时******************** LEDData=LEDCode[second1/10];

led11=0;

Delay5ms();

led11=1;

LEDData=LEDCode[second1%10];

led12=0;

Delay5ms();

led12=1;

//**************显示1组的分数百位***************** if(AorB==0)

LEDData=LEDCode[scoreA/100];

else

LEDData=LEDCode[scoreB/100];

led5=0;

Delay5ms();

led5=1;

//*****************显示1组分数的十位*************** if(AorB==0)

LEDData=LEDCode[(scoreA%100)/10];

else

LEDData=LEDCode[(scoreB%100)/10];

led6=0;

Delay5ms();

led6=1;

//****************显示1组分数的个位**************** if(AorB==0)

LEDData=LEDCode[scoreA%10];

else

LEDData=LEDCode[scoreB%10];

led7=0;

Delay5ms();

led7=1;

//**************显示2组分数的百位***************** if(AorB==1)

LEDData=LEDCode[scoreA/100];

else

LEDData=LEDCode[scoreB/100];

led8=0;

Delay5ms();

led8=1;

//*****************显示2组分数的十位****************** if(AorB==1)

LEDData=LEDCode[(scoreA%100)/10];

else

LEDData=LEDCode[(scoreB%100)/10];

led9=0;

Delay5ms();

led9=1;

//******************显示2组分数的个位***************** if(AorB==1)

LEDData=LEDCode[scoreA%10];

else

LEDData=LEDCode[scoreB%10];

led10=0;

Delay5ms();

led10=1;

}

//*************按键检测程序*********************

void keyscan(void)

{

基于单片机控制的篮球比赛计分系统设计

if(playon==0)

{

if(add1==0)

{

display();

if(add1==0);

{

if(minit<99)

minit++;

else

minit=99;

}

do

display();

while(add1==0);

}

if(dec1==0)

{

display();

if(dec1==0);

{

if(minit>0)

minit--;

else

minit=0;

}

do

display();

while(dec1==0);

}

if(add2==0)

{

display();

if(add2==0);

{

if(second<59)

second++;

else

second=59;

}

do

display();

while(add2==0);

基于单片机控制的篮球比赛计分系统设计

}

if(dec2==0)

{

display();

if(dec2==0);

{

if(second>0)

second--;

else

second=0;

}

do

display();

while(dec2==0);

}

}

else

{

if(add1==0)

{

display();

if(add1==0);

{

if(AorB==0)

{

if(scoreA<999)

scoreA++;

else

scoreA=999;

}

else

{

if(scoreB<999)

scoreB++;

else

scoreB=999;

}

}

do

display();

while(add1==0);

}

if(dec1==0)

基于单片机控制的篮球比赛计分系统设计

{

display();

if(dec1==0);

{

if(AorB==0)

{

if(scoreA>0)

scoreA--;

else

scoreA=0;

}

else

{

if(scoreB>0)

scoreB--;

else

scoreB=0;

}

}

do

display();

while(dec1==0);

}

if(add2==0)

{

display();

if(add2==0);

{

if(AorB==1)

{

if(scoreA<999)

scoreA++;

else

scoreA=999;

}

else

{

if(scoreB<999)

scoreB++;

else

scoreB=999;

}

}

do

display();

while(add2==0);

}

if(dec2==0)

{

display();

if(dec2==0);

{

if(AorB==1)

{

if(scoreA>0)

scoreA--;

else

scoreA=0;

}

else

{

if(scoreB>0)

scoreB--;

else

scoreB=0;

}

}

do

display();

while(dec2==0);

}

if(exch==0)

{

second1=24; //24秒计时装载

alam1=1; //关闭24秒报警

}

}

}

//***************主函数******************** void main(void)

{

TMOD=0x11;

TL0=0xb0;

TH0=0x3c;

TL1=0xb0;

TH1=0x3c;

minit=10; //时间初始值为10:00

second1=24;

second=0;

EA=1;

ET0=1;

ET1=1;

TR0=0;

TR1=0;

EX0=1;

IT0=1;

IT1=1;

EX1=1;

PX0=1;

PX1=1;

PT0=0;

P1=0xFF;

P3=0xFF;

while(1)

{

keyscan();

display();

}

}

void PxInt0(void) interrupt 0

{

Delay5ms();

EX0=0;

alam=1;

alam1=1; //关闭报警

TR1=0;

if(timeover==1)

{

timeover=0;

}

if(playon==0)

{

playon=1; //开始标志位

TR0=1; //开启计时

}

else

{

playon=0; //开始标志位清零,表示暂停

篮球赛记分牌设计分析

篮球赛记分牌设计 前言 篮球是一项充满乐趣的运动,打篮球不仅可以强身健体,而且能增进队友之间的相互信任。现在篮球普及率已经很高,打篮球不仅仅是专业运动员的运动,也是普通老百姓的活动,不管你是走在学校里,还是公园里,或者是小区里,都能看到篮球场,都能看到打篮球的人,篮球已成为我们大部分人生活里不可缺少的一部分,每天都能听到有人在谈论篮球,说说自己喜欢的NBA巨星……因为喜欢篮球,所以打篮球,时不时会来场激烈的比赛,但是传统的记分方式如粉笔或记计分人员手动翻动记分牌给我们带来诸多不便。随着社会的发展、科学技术的进步以及人们生活水平的不断提高,各种电子产品开始进入人们的生活,使人们的生活更加便利。篮球赛记分牌设计的实现使得我们轻轻松松几个按钮开关就能记下分数,让我们感受到科技给我们带来的巨变。 篮球比赛的胜负是由两支队伍在规定时间里得分多少来决定。由于比赛的不可重复性和绝对的公平性,决定了篮球赛记分牌系统是一个实用性很强、可靠性要求极高的以计算机技术为核心的电子服务系统。这种设备是篮球比赛中不可或缺的一种电子设备,篮球赛记分牌系统设计是否合理,关系到整个篮球比赛系统运行的稳定和可靠,并直接影响到整个篮球比赛的顺利进行。篮球赛记分牌系统是篮球比赛的重要工程项目,是关系到比赛成败的关键工程,这种记分牌还可以运用到其他体育竞赛,如足球、羽毛球。 1 系统总体设计方案 随着单片机[1]广泛应用于各领域,许多用单片机作控制的球赛记时记分系统也随之产生,例如用单片机控制LCD液晶显示器记时记分器和用单片机控制LED七段显示器计时计分器等。 本设计根据所学的C语言基础知识和一些编程思想,对所需要的一些硬件在市场上进行了调查比较,选择了比较简单,容易实现的51单片机,结合运用C语言思想进行方案设计,使用keil uVision软件编写程序。51单片机内部的定时器/计数器进行中断可以结合软件延时实现计时。采用的硬件核心是89C51芯片,其内部采用Flash ROM,具有4KB ROM存储空间,能与3V的超低压工作,电路设计中由于不具备ISP在线编程技术,可以运用STC-ISP烧入程序。这个设计实现的功能是记下双方比分,随时显示,能够随时更改双方比分,能够调整比赛的时间,还有暂停时间,能够在双方更换比赛场地时交换双方比分,时间走完时能发出比赛终止信号。

基于单片机的篮球计分器设计毕业设计

摘要 随着电子科技、信息通信技术的快速发展,嵌入式单片机应用越来越广泛。在HMOS技术大发展的背景下,Intel公司在MCS-48系列的基础上,于1980年推出了8位MCS-51系列单片机。它与以前的机型相比,功能增强了许多,就其指令和运行速度而言,超过了INTEL8085的CPU和Z80的CPU,成为工业控制系统中较为理想的机种。较早的MCS-51典型时钟为12MHz,而目前与MCS-51单片机兼容的一些单片机的时钟频率达到40MHz甚至更高,现在已有400MHz的单片机问世。篮球记分器是一种得分记录工具,由多种电子设备组成。以单片机为核心的篮球记分器造价便宜,使用简单,体积小等特点。

第1章绪论 1.1 单片机简述 单片机就是在一块半导体硅片上集成了微处理器(cpu),存储器(RAM,ROM,EPROM)和各种输入、输出接口(定时器/计数器,并行I/O接口,串行口,A/D转换器以及脉宽调制器PWM等),这样一块集成电路芯片具有一台计算机的属性,因此被称为单片微型计算机,简称单片机。 单片机主要应用于测控领域,用以实现各种测试和控制功能。为了强调其控制属性,在国际上,多把单片机称为微控制器MCU(MicroController Unit)。单片机自20世纪70年代问世以来,以极其高的性价比受到人们的重视和关注,所以应用很广,发展很快。单片机的优点是体积小、重量轻、抗干扰能力强,对环境要求不高,价格低廉,可靠性高,灵活性好,开发较为容易。例如,80C51系列单片机已有十多年的生命期,如今仍保持着上升的趋势,就充分证明了这一点。单片机以其一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统,数据采集系统、智能化仪器仪表,及通讯设备、日常消费类产品、玩具等。并且已经深入到工业生产的各个环节以及人民生活的各层次中,如车间流水线控制、自动化系统等、智能型家用电器等。而美国ATMEL公司开发生产了新型的8位单片机——AT89系列单片机。他不但具有一般MCS-51单片机的所有特性,而且还拥有一些独特的优点,此次设计中所用到的AT89C51就是其中典型的代表。 1.2 设计意义 单片机的应用是具有高度现实意义的。单片机极高的可靠性,微型性和智能性(我们只要编写不同的程序后就能够完成不同的控制工作),单片机已成为工业控制领域中普遍采用的智能化控制工具,已经深深地渗入到我们的日常生活当中。通过此次基于单片机设计的篮球计时计分系统,我们可以更清楚详细的了解单片机程序设计的基本指令功能、编程步骤和技巧来讲述单片机编程,并对MCS-51单片机的结构和原理进行讲述,以及基于单片机开发应用的相关芯片的工作原理,并且可以在将来的工作和学习中加以应用。

基于51单片机的篮球比赛电子记分牌_仿真图+程序

NEPU 课程设计 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌院系电气信息工程学院测控系 专业班级测控技术与仪器**-*班 学生XX **** 学生学号************ 指导教师**** **** 201*年* 月*日

NEPU课程设计任务书 课程单片机课程设计 题目基于单片机的篮球比赛电子记分牌 专业测控技术与仪器****学号************* 一、任务 以AT89C51单片机为控制核心,遵循篮球比赛规则,设计一款具有记录比赛分数,比赛剩余时间等基本功能的电子记分牌。 二、设计要求 [1] 要求能实时记录比赛的分数、剩余时间。 [2] 通过对AT89C51单片机的编程,实现比分和剩余时间的显示。 [3] 设计篮球24秒定时器、剩余5秒时发出提示音。 [4] 提交设计报告、电路图及程序源码。 三、参考资料 [1] 毅刚.单片机原理及应用[M].:高等教育,2004. [2] 润华,立山.模拟电子技术[J].:石油大学,2003. [3] 周润景,丽娜等.PROTEUS入门教程[M].:机械工业,2007. [4] 仕.电工电子制作基础[M].:华中科技大学,2008. [5] 介华.电子技术课程设计指导[J].:高等教育,1997. 完成期限 指导教师 专业负责人******

201*年*月**日

目录 第1章绪论1 1.1 单片机在体育赛事计分的应用1 1.2 本设计任务2 第2 章总体方案论证与设计2 2.1 显示模块2 2.2 按键控制模块3 2.3 报警模块3 2.4 总体硬件组成框图3 第3章系统硬件设计5 3.1 LCD1602显示模块的硬件设计5 3.2按键控制模块的硬件设计6 3.3 蜂鸣器报警模块的硬件设计7 3.4 单片机最小系统的硬件设计7 第4章系统的软件设计9 4.1 主程序设计9 4.2 按键控制程序设计10 4.3 蜂鸣器报警程序设计11 第5章系统调试与测试结果分析13 5.1 系统调试13

篮球计分器设计报告

课程设计报告 课程名称:数字电路课程设计 设计题目:篮球比赛数字计分器 院(部):机械与电子工程电学院 专业:电气信息类 学生姓名: 曾吴广 学号: 2011211006 起止日期: 2013年6月7日-2013年6月22日 指导教师: 李玲纯华贵山

一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 三、电路的设计记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、f、g输入信号驱动,当某个输入为低电平时,相应的发光二极管点亮。

单片机原理及指导应用实训资料报告材料:实篮球记分牌

电子科技大学信息科技学院单片机原理及应用实训报告 题目篮球计分牌 学号0951100228 姓名锎 指导老师桂明锟志鹏 2011 年9 月23 日

1.系统设计 1.1设计要求 1.1.1.基本要求 1 设计一个基于单片机的篮球计分牌,实现两队的计分功能与24秒计时功能 2 结合硬件能够正常计分 3 能够正常显示分值。 4 能够正常修改工作模式(计分与24秒) 5 能够24秒的误差不小于1秒 1.1. 2.发挥部分 1 12分钟倒计时 2 能够两队球员犯规次数计数 3 重置24秒表 4 倒计时结束报警 5 12分钟倒计时结束红灯全部亮 1.2设计原理 1.2.1 框图设计 1.2.2 程序流程 1.2.2.1加分程序流程

1.2.2.2犯规程序流程 1.2.2.3 选择显示程序流程

1.3方案比较 1.3.1 方案1:是通过按不同的键来切换不同的输入,使用不同的LED灯的亮灭来显示不同的队加分。 电路图: 1.3.2 方案2:通过一个键来切换分数,24s倒计时,12分钟倒计时和犯规次数,通过LED灯的亮来判断是那个队加分,那个队犯规,12分钟倒计时结束。另外,给a队b队加分和加犯规次数。通过按键,选择哪种显示方式,暂停/开始24s倒计时,重置24s; 电路图:

1.4方案论证 1.4.1总体思路 7个按键实现对应功能:P1^6对应a队加分,第一个红灯亮;P1^0对应b队加分,第二个红灯亮;P1^1对应显示切换,切换有四类显示方式,第一类是a队跟b队的比分显示,且各两个数码管显示。第二类是24s倒计时的显示。第三类是12分钟倒计时的显示。第四类是a队跟b队的犯规次数显示;P1^2对应24秒倒计时暂停/开始;P1^3对应重置24s倒计时,同时24s倒计时暂停;P1^4对应a队加犯规次数,同时第三个红灯亮;P1^5对应b队加犯规次数,同时第四个红灯亮;外加复位键,按下则清零;24秒倒数为0时蜂鸣器响起警报,重新倒数时蜂鸣器关闭。12分钟倒计时结束时,蜂鸣器响起警报,红灯全亮。 1.4.2设计方案 使用了延时子程序(delay)用来按键消抖,还有定时中断程序来显示数码管,启止24s倒计时,12分钟倒计时,使用了P0口作为数码管的段选和P2口的位选,使用P1口作为按键作为输入,P3口的发光二极管作为判断a队和b队的选择和作为模式的选择部分和蜂鸣器作为倒计时24秒的计时的时间到;在主程序里面有各按键的功能,a队加分,b队加分,切换功能,重置24s功能,a队加犯规,b队加犯规; 2、模块电路的设计

篮球记分牌verilog设计

数字逻辑设计及应用课程设计报告 姓名: 学号: 选课号:79 设计题号:23

一.设计题目 篮球比赛数字计分牌 二.设计要求 1.分别记录两队得分情况; 2.进球得分加2分,罚球进球得分加1分; 3.纠正错判得分减2分或1分; 4.分别用三个数码管显示器记录两队的得分情况。 三.设计过程 (一)设计方案 1.模块设计 题目中要用三个数码管来记录两队的得分情况,本文采用输出为8421bcd码,外接译码器和数码管的方式来实现。 先设计一个带有进位(co)和借位(ci)输出的模块pad,输出端(num)输出4位8421bcd码外接译码器和数码管,pad模块还带有加一输入端(a1),加二输入端(a2),减一输入端(d1),减二输入端(d2)。输入端与开关相接,操作者按下开关即给该端口一个脉冲信号,各输入端口由上升沿触发。如果操作者同时按下多个端口,输出端口将保持原来的信号不变。pad模块功能图见图1-1。 图1-1 pad模块输入输出端口及功能

然后将三个相同的pad模块进行级联,构造为新的模块numberpad,从而得到带有三个数码管的篮球记分牌。甲乙两队都将分别使用这个记分牌。级联图见图1-2。 图1-2 pad模块级联图 2.模块内部的算法流程 每个模块有四个输入端口a1,a2,d1,d2来进行触发,触发事件太多,因此构造rem=a1|a2|d1|d2作为新的触发信号。因此,只要a1,a2,d1,d2中任意一个按键被按下,将会发出一个脉冲,rem也就会产生一个脉冲。但是可能出现多个按键同时按下的情况,这样会产生冲突。所以在always语句块中,进行判断,看是否a1,a2,d1,d2中只有一个处于高电平,若同时处于高电平,则输出维持原来的值不变。 判断完端口a1,a2,d1,d2中哪一个输入了以后,就要进行加1,加2,减1,减2的操作。 加1分为以下情况:(1)若num已经计数到9即1001,再加1则num应变为0000,进位端co输出1;(2)若num不为9,则直接加1,co输出0。 加2分为以下情况:(1)若num已经计数到8即1000,再加2则num应变为0000,进位端co输出1;(2)num已经计数到9即1001。再加2则num应变为0001,进位端co 输出1;(3)若num不为8或9,则直接加2,co输出0/。 减1分为以下情况:(1)若num此时为0,再减1则num应变为9即1001,借位端ci 输出1;(2)若num不为0,则直接减1,ci输出0。 减2分为以下情况:(1)若num此时为0,再减2则num应变为8即1000,借位端ci 输出1;(2)若num此时为1,再减2则num应变为9即1001,借位端ci输出1;(3)若num不为0或1,则直接减2,ci输出0 算法流程图见图1-3

数电课程设计--篮球计分器设计

《数字电子技术》课程设计题目:篮球比赛记分器设计 学院:信息科学与技术学院 专业:电子信息工程 班级:2010级(2)班 姓名:马慧2010508121 张驰2010508125 2012年7月6日

篮球比赛记分器设计 一、设计内容与要求: 设计一个篮球比赛记分显示器 基本要求: (1)电路具有加1分、加2分、加3分功能。 (2)电路具有减分功能。 (3)显示总分功能,用三位LED显示器,最高可现实999。 (4)每次篮球比赛后显示器可清零。 二、设计方案 对应篮球比赛规则的系统的要求,篮球记分有1分、2分、3分的情况,通过对电路输入一个脉冲、两个脉冲、三个脉冲,使计数器对分数进行统计,这需要三个脉冲分路。 电路要具有计分、减分及显示的功能。当球队比赛得分时,用加法计分器通过控制分路加相应的分数。如果裁判误判了,可用减法计数器减掉误判的分数。用三片计数器和三个半导体数码LED进行对分数的统计和显示。 电路框图 记分电路 加 减 置 换 二 分 脉 冲 显 示 显 示 分 数 清 零 一分脉冲三分脉冲

三、电路的设计 1、记分部分 设计要求记分部分包括加减两部分,故考虑双时钟输入的十进制计数器74LS192。74LS192是同步十进制可逆计数器,为双时钟输入,具有同步清零和同步置数等功能,具体功能表如下: 故计分部分电路设计如下: 2、显示部分 数码管按照其发光二极管的连接方式不同,可分为共阳极和共阴极两种。共阴极是指数码管中所有发光二极管的阴极连在一起接低电平,而阳极分别由a、b、c、d、e、f输入信号驱动,当某个输入为高电平时,相应的发光二极管点亮;共阳极数码管则相反,它的所有发光二极管的阳极连在一起接高电平,而阴极分别由a、b、c、d、e、

基于单片机的篮球记分器

学号:201025090229 中州大学毕业设计 设计题目:基于单片机的篮球计分器的设计 学院:工程技术学院 专业:机电一体化对口班级二班 姓名:周康 指导教师:沈娣丽 日期:2013 年4 月22 日

诚信声明 本人郑重声明:所提交的毕业设计(论文)是本人在指导教师的指导下,独立工作所取得的成果并撰写完成的,郑重确认没有剽窃、抄袭等违反学术道德、学术规范的侵权行为。文中除已经标注引用的内容外,不包含其他人或集体已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中作了明确的说明并表示了谢意。本人完全意识到本声明的法律后果由本人承担。 毕业设计(论文)作者签名:指导导师签名: 签字日期:签字日期:

毕业设计任务书 班级:学生:学号 设计题目: 设计内容及要求: 1)设计一款显示篮球比分的记分牌; 2)通过加分按钮可以给A队,或B队加分; 3)设计对调功能,更换场地后,AB队分数互换; 4)计时:能实现0~99分和0~59秒任意调整,具有启动、暂停、复位功能;5)秒计时:能实现0~99秒任意调整,具有启动、暂停、复位功能; 6)增加局数比分功能; 7)增加比赛时间倒计时功能; 8)报警:0~99秒违例自动声、光报警:0~99分终场自动声响; 9)可实现A、B两队暂停次数和犯规的显示和任意加减; 指导老师(签字):年月日

摘要: 本设计是采用单片机AT89C51作为本设计的核心元件。利用7段共阴LED作为显示器件。在此设计中共接入了8个7段共阴LED显示器,其中4个用于记录AB队的分数,每队2个LED 显示器显示范围可达到0~99分,足够满足赛程需要,另外4个LED显示器则用来记录赛程时间,其中2个用于显示分钟,2个用于显示秒钟。赛程计时采用倒计时方式。即比赛前将时间设置好,比赛开始时启动计时,直至计时到零为止。计时范围可达到0~99分钟,也完全满足实际赛程的需要。当比赛队A队得1分时,按下A+1键;得2分时,按下A+2键;得3分时,按下A+3键;当加分出现错误时,可以按A-1键减1分,可以达到调整分数的作用;依照同样的方法可以记录B队的得分。 采用单片机控制使这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 关键词:单片机篮球计分器数码管

篮球比赛数字记分牌的设计方案

目录 1 课程设计题目`内容与要求……………………… 设计内容 具体要求 2系统设计………………………… 设计思路 系统原理 3 系统实现…………………………………………… 4 系统仿真……………………………………………5硬件验证(操作)说明……………………………… 6 总结…………………………………………………

7参考书目…………………………………………… 一、课程设计题目、内容与要求 课程设计的题目:篮球比赛记分牌 课程设计内容: 1、根据比赛实际情况记录两队得分,罚球进的1分,进球的2分; 2、记分牌要具有纠错功能,能减1分、2分功能; 3、利用3个译码显示管输出比赛的分; 二、系统设计 设计思路: 篮球比赛记分牌是记录两队比赛的得分情况,并能够进行纠错功能;根据系统设计的要求,篮球记分牌的电路原理框图如下:

系统原理与设计说明 系统各个模块的功能如下: 1、D触发器电路模块实现翻转功能当出错时,输出为1,使电路回到上一个正确的状态。 2、4为二进制全加器电路模块实现加法计数功能。 3、移位寄存器电路模块保存比赛两队得分情况的4个相邻状态,出错时将调用上一个正确状态。 4、二选一数据选择器电路模块用来控制移位寄存器 5、 LED数码管驱动电路模块 三、系统实现 各模块电路的源程序如下: 1、D触发器电路模块及程序:

set输入(Q=1),清零应该可以用复位键reset吧(Q=0)。library ieee; use entity sync_rsdff is port(d,clk : in std_logic; set : in std_logic; reset: in std_logic; q,qb : out std_logic); end sync_rsdff; architecture rtl_arc of sync_rsdff is begin process(clk) begin if (clk'event and clk='1') then if(set='0' and reset='1') then q<='1'; qb<='0'; elsif (set='1' and reset='0') then q<='0'; qb<='1'; else

2020年篮球计分器开题报告

篮球计分器开题报告 篮球计分是篮球比赛的准备基础,关于它的设计也能影响到篮球的比赛。 石河子大学 毕业论文开题报告 课题名称:基于单片机的便携式篮球计分计时系统设计 学生姓名:朱宝军 学号:学院:机械电气工程学院 专业、年级:电气工程及其自动化12级2班 指导教师:张晓海 职称:副教授 毕业论文(设计)起止时间: 目录 1课题名称及 (1) 2研究的目的意义及国内外研究现状 (1) 2.1选题的目的及意义 (1)

2.2国内外研究现状 (1) 3课题的研究内容、目标及可行性分析 (2) 3.1研究内容 (2) 3.2研究思路和方法 (2) 3.3研究目标 (2) 3.4可行性分析 (3) 4关键问题和难点分析 (3) 4.1课题研究的关键问题 (3)

4.2课题研究的难点分析 (3) 4.3进度计划 (3) 参考文献 (4) 1课题名称及: 名称:基于单片机的便携式篮球计分计时系统设计 :老师推荐 2研究的目的、意义和国内外研究现状: 2.1选题的目的及意义 进入21世纪,伴随着电子,信息通信技术的应用与普及开发,人们对电子技术的要求也越来越高。目前嵌入式单片机渗透到我们生活的各个领域,按照历史性、本质性、普遍性要求,嵌入式系统应定义为:“嵌入到对象体系中的专用计算机系统”。对于嵌入式即可分软件部分和硬件部分。以MCU为核心,就是各种各样的单片机,是嵌入式硬件部分的实现方式之一,它主要是因为把处理器和存储器等部件集成在一块芯片上。 在探索单片机的发展道路时,有过两种模式,即“Σ模式”与“创新模式”。“Σ模式”将通用计算机系统中的基本单元进行裁剪

【篮球记分牌】篮球记分牌课程设计实验报告

【篮球记分牌】篮球记分牌课程设计实验报告 《【篮球记分牌】篮球记分牌课程设计实验报告》篮球记分牌课程设计实验报告 XX学校电子技术课程设计报告 题目学院自动化与电气工程学院专 业班级学号学生姓名指导教师完成日期 201X年 XX月XX日 篮球记分牌 摘要 围绕设计要求对篮球记分牌进行设计。首先根据功能要求提出单片机STC89S52RC为控制核心的设计方案;其次进行硬件系统和软件系统的设计,并且根据本次设计所用的V3.72开发板原理图进行设计,然后根据所设计的电路图级程序用Proteus和Keil 进行了仿真,验证了设计方案的正确性,最后,把程序烧进开发板中来完成篮球记分牌的硬件电路。调试和测试结果表明基本上都能满足此次课程设计的要求。本次课程设计,有效加深和巩固了单片机的基础知识,提高了我们的实际动手能力,具有及其深远的意义。 关键词:单片机独立按键蜂鸣器 at24c02 数码管 一、设计要求

1.比赛开始,比分能够全部清零,数码管前2位,末二位做记分用,当中2位做24秒倒计时用。 2.24秒倒计时时间到,蜂鸣器响、指示灯亮,重新开始倒计时(按下开始键),蜂鸣器停止鸣叫、 指示灯灭。 3.比分进行增加后,倒计时从24秒重新开始倒计时。任意时刻24秒可以重新开始倒计时。必 要时候能够关闭倒计时。 4.利用AT24C02或者单片机内部资源保存比分,支持复位保存,掉电保存功能。 二、系统设计及仿真 2.1、系统总体设计 图2-1系统结构图 思想汇报专题本系统采用单片机STC89S52RC为控制核心,系统主要包括显示模块、按键控制模块、蜂鸣器报警模块等。下面对各模块的设计进行论证。 1) 显示模块 单片机系统中,往往需要多位显示。动态显示是一种最常见的多位显示方法,应用非常广泛。所有数码管段选都连接在一起的时候,怎么让数码管显示不一样的数字呢?动态显示是多个数码管,交替显示,利用人的视觉暂停作用使人看到多个数码管同时显示的效果。

篮球赛计时计分器课程设计

电子与电气工程学院 课程设计报告 课程名称电子技术课程设计 设计题目计分器 专业名称电子科学与技术 班级 13级 2班 学号2013210825 学生姓名唐前昆 指导教师王欢 2015年06 月02日

目录 第1章系统概述 (1) 1.1 功能简述 (1) 1.2 按钮设置 (1) 第2章总体方案设计 (2) 2.1 系统框图 (2) 2.2 软件总体设计 (2) 第3章系统硬件设计 (3) 3.1 80C51单片机 (3) 3.2 3×4矩阵式键盘 (3) 3.3 8段数码管显示器 (3) 3.4 系统原理图 (3) 第4章软件设计 (4) 4.1 主函数设计 (4) 4.2按键码获取,按键处理函数 (5) 4.3显示子函数 (6) 4.4延时子函数 (7) 第5章系统的安装调试说明 (8) 5.1 软件调试 (8) 5.2 软硬联调 (8) 总结 (9) 参考文献 (10) 附录A 系统原理图 (11) 附录B 源程序清单 (12)

第1章系统概述 1.1 功能简述 本设计内容为比赛计分器,主要用于各种体育比赛记录分数。采用矩阵式键盘作为输入,用户可分别对两队比分进行加1、加2和减1减2操作,其加减1,2分可以通过加减1分、2分的切换按钮实现,并通过指示灯显示其每次按下加减分键所加减的分值。可以实现预置分。比分通过4个8段数码管显示器进行显示,每队比分显示2位, 1.2 按钮设置 计分器应该有7个按键分别标注于原理图,见图1-1。 图1-1按钮功能图 其中1/2分切换由发光二极管指示,加1减1分别对应。预置分是事先设定分数可以分别设定甲乙两队的初始分数。按下清零后,显示的分数清零。

基于单片机的篮球记分器设计说明

学号:9 中州大学毕业设计 设计题目:基于单片机的篮球计分器的设计 学院:工程技术学院 专业:机电一体化对口班级二班 姓名:周康 指导教师:娣丽 日期:2013 年 4 月 22 日

诚信声明 本人重声明:所提交的毕业设计(论文)是本人在指导教师的指导下,独立工作所取得的成果并撰写完成的,重确认没有剽窃、抄袭等违反学术道德、学术规的侵权行为。文中除已经标注引用的容外,不包含其他人或集体已经发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中作了明确的说明并表示了意。本人完全意识到本声明的法律后果由本人承担。 毕业设计(论文)作者签名:指导导师签名: 签字日期:签字日期:

毕业设计任务书 班级:学生:学号 设计题目: 设计容及要求: 1)设计一款显示篮球比分的记分牌; 2)通过加分按钮可以给A队,或B队加分; 3)设计对调功能,更换场地后,AB队分数互换; 4)计时:能实现0~99分和0~59秒任意调整,具有启动、暂停、复位功能; 5)秒计时:能实现0~99秒任意调整,具有启动、暂停、复位功能; 6)增加局数比分功能; 7)增加比赛时间倒计时功能; 8)报警:0~99秒违例自动声、光报警:0~99分终场自动声响; 9)可实现A、B两队暂停次数和犯规的显示和任意加减;

指导老师(签字):年月日

摘要: 本设计是采用单片机AT89C51作为本设计的核心元件。利用7段共阴LED作为显示器件。在此设计中共接入了8个7段共阴LED显示器,其中4个用于记录AB队的分数,每队2个LED 显示器显示围可达到0~99分,足够满足赛程需要,另外4个LED显示器则用来记录赛程时间,其中2个用于显示分钟,2个用于显示秒钟。赛程计时采用倒计时方式。即比赛前将时间设置好,比赛开始时启动计时,直至计时到零为止。计时围可达到0~99分钟,也完全满足实际赛程的需要。当比赛队A队得1分时,按下A+1键;得2分时,按下A+2键;得3分时,按下A+3键;当加分出现错误时,可以按A-1键减1分,可以达到调整分数的作用;依照同样的方法可以记录B队的得分。 采用单片机控制使这个系统按键操作使用简洁,LED显示,安装方便。解决了篮球比赛计分器的安装问题,节约了线材,适合在各种规模的体育场馆使用,完全可以代替传统的用钟表进行计时的方法,当然稍加改动也可以用于其他球类比赛,是体育器材向智能化发展的一个实例。 关键词:单片机篮球计分器数码管

篮球记分牌数电课程设计

数字逻辑电路 课程设计报告 院(部):信息工程学院 专业:通信工程 班级:通信二班 姓名:陈俊达 学号:20150303203 成绩: 指导老师:李海霞 开课时间:2016-2017 学年 2 学期

课程设计任务书 一、设计题目 篮球记分牌 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、要求完成的主要功能 1、自选器件,要求甲乙双方各显示为三位数(可显示至百位) 2、分别用三个按钮,给记分牌加减1、2、3分。 3、用一个开关实现加减控制 4、每次篮球比赛计分后用一个开关给系统清零,使系统复位,准备下一次 比赛实验。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩20%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩30%;

4、考勤情况,占总成绩20%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

#篮球比赛计时计分器的毕业设计

毕业设计(论文) 篮球比赛计时计分器的设计The design of basketball game time and scoring 班级电气自动化092班 学生姓名杨杰学号 930706036 指导教师周天沛职称讲师 导师单位徐州工业职业技术学院 论文提交日期 2011年11月18日

徐州工业职业技术学院 毕业设计(论文)任务书 课题名称篮球比赛计时计分器的设计课题性质设计制作类 班级电气自动化092班 学生姓名杨杰 学号 930706036 指导教师周天沛 导师职称讲师

一. 选题意义及背景 篮球比赛是根据运动队在规定的比赛时间里得分多少来决定胜负的,因此,篮球比赛的计时计分系统是一种得分类型的系统。篮球比赛的计时计分系统由计时器,计分器等多种电子设备组成,同时,根据目前高水平篮球比赛要求,完善的篮球比赛计时计分系统设备应能够与现场成绩处理,现场大屏幕,电视转播车等多种设备相联,以便实现高比赛现场感,表演娱乐观众等功能目标。 二. 毕业设计(论文)主要内容: 任务:设计一个用于赛场的篮球计时计分器。 要求:1、能记录整个赛程的比赛时间,并能修改比赛时间。 2、能随时刷新甲、乙两队在整个过程中的比分。 3、比赛结束时,能发出报警声。 其中硬件部分包括键盘和显示器接口电路的设计。软件部分利用单片机编程软件编写程序,并利用protest软件进行调试,完成毕业论文。 预期成果为设计实物一件,提交毕业设计论文一篇。 三.计划进度: 第8 周查阅资料、选型对比调研,初步确定控制电路的总体设计。 第8-10 周完成硬件线路设计及元器件的选型。 第11 周单片机软件程序的编写。 第12 周调试系统达到设计要求,并完成毕业论文。 第13 周答辩。 四.毕业设计(论文)结束应提交的材料: 1、论文一篇(8000字以上) 2、实物一件 指导教师教研室主任 年月日年月日

基于单片机的比赛记分牌设计

课程设计(论文)说明书 题目:基于单片机的比赛记分牌设计 院(系):机电工程学院 专业:机械设计制造及其自动化 学生姓名: 学号: 指导教师: 职称: 2015年 12月 18日

摘要 本论文介绍了以AT89S52为核心控制部件,并制作成为最小系统,兼备输入信号的识别与处理与输出信号的控制;其主要功能为实现体育竞赛的简单记分功能;事先在单片机内部写入控制程序,通过用户按键,对单片机输入不同的控制信号,即可实现分数的加与减、权值的灵活调整、蜂鸣提醒、手动式计数复位以及具体数字显示等功能。整个设计流程分为硬件设计与软件设计两大部分,但本文仅着重叙述硬件设计部分。 关键字:AT89S52;单片机;记分系统 Abstract In thispaper introduces one kind of scores counting function that take the 51 single chip microcomputer as the primary control unit. The scores counting, weight changing, buzzing when number changes and display the number is the major function in this minimum system. The whole process can be divided into two different parts. The one is hardware design, and the other one is software. But the paper tends to introduce the part of hardware design. Keywords:AT89S52;MCU;Counting System

单片机课程设计--篮球记分牌

单片机课程设计报告 题目篮球记分牌 学院自动化与电气工程学院专业自动化 班级自动化103 学号 学生姓名 指导教师 完成日期2012年12月15日

篮球记分牌 一.设计要求: 1、比赛开始,比分能够全部清零,数码管前2位、末2位做记分用,当中2位做24秒倒计时用。 2、24秒倒计时时间到,蜂鸣器响、指示灯亮,重新开始倒计时,蜂鸣器停止鸣叫、指示灯灭。 3、比分进行增加后,倒计时从24秒重新开始倒计时。任意时刻24秒可以重新开始倒计时。必要时候能够关闭倒计时。 4、利用AT24C02或者单片机内部资源保存比分,支持复位保存,掉电保存功能。 5、其他附加功能。 二.原理图:

三.实验流程图: 四.实验程序: Main.c文件: /****************************************************************************** *************** 函数名:篮球记分牌 说明:比赛开始,比分能够全部清零,数码管前2位、末2位做记分用,当中2位做24秒倒计时用。 24秒倒计时时间到,蜂鸣器响、指示灯亮,重新开始倒计时,蜂鸣器停止鸣叫、

指示灯灭。 比分进行增加后,倒计时从24秒重新开始倒计时。任意时刻24秒可以重新开始倒计时。必要时候能够关闭倒计时。 作者: 完成日期:2012.12.2 /****************************************************************************** ****************/ #include #include #include"eeprom.h" #define uchar unsigned char #define uint unsigned int /***********端口定义*************/ /*数码管段选用P0口,位选用P2口*/ /******指示灯******/ sbit LED=P3^4; /********蜂鸣器*********/ sbit FMQ=P3^5; /******数组定义*******/ uchar code table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x07f,0x6f};//0,1,2,3,4,5,6,7, 8,9 uchar password[6]; //掉电保存6位数组 /***********变量定义*************/ uchar fen1; //甲队初始得分 uchar fen2; //乙队初始得分 uchar fen3; //甲乙两队上下半场换分 uchar SJ; //时间变量值 uchar cxjs=1; //重新计时标志位 uchar key; //矩阵键盘按键值 uchar temp; //矩阵键盘P1口寄存变量 uchar time; //中断计数器 /*********************************************************** 函数名称:delay 函数功能:基本延时程序 入口参数:无 出口参数:无 备注:无 ***********************************************************/ void delay(uchar x) { uchar j;

篮球计分器课程设计

单片机原理与应用 课程设计报告 题目篮球记分器 姓名张浩浩张振鹏赵海晨 学号2013416915 2013416920 2013416921 院系物理工程学院 专业通信工程(物联网) 指导教师秦文华 2015年12月 20 日

目录 摘要 .............................................................................................................. 错误!未定义书签。关键词 ............................................................................................................ 错误!未定义书签。引言 ................................................................................................................ 错误!未定义书签。 1.系统方案说明.............................................................................................. 错误!未定义书签。 1.1 方案选择.......................................................................................... 错误!未定义书签。 1.1.1 篮球计时计分器设计的现状............................................... 错误!未定义书签。 1.1.2 系统总体设计方案............................................................... 错误!未定义书签。 1.2 系统基本功能介绍.......................................................................... 错误!未定义书签。 2.系统硬件电路设计...................................................................................... 错误!未定义书签。 2.1 篮球计时计分器电路原理图.......................................................... 错误!未定义书签。 ................................................................................................................ 错误!未定义书签。 2.2 篮球计时计分器电路工作过程...................................................... 错误!未定义书签。 2.3系统硬件电路组成........................................................................... 错误!未定义书签。 2.3.1 计时电路............................................................................... 错误!未定义书签。 2.4器件选择及介绍............................................................................... 错误!未定义书签。 2.4.1 AT89C51 ................................................................................ 错误!未定义书签。 3.软件系统设计.............................................................................................. 错误!未定义书签。 3.1系统设计流程图............................................................................... 错误!未定义书签。 3.2 电路仿真.......................................................................................... 错误!未定义书签。 4.调试 ............................................................................................................. 错误!未定义书签。 4.1 仿真调试.......................................................................................... 错误!未定义书签。 4.2电路板调试............................................................................................... 错误!未定义书签。总结........................................................................................................... 错误!未定义书签。参考文献......................................................................................................... 错误!未定义书签。致谢 ............................................................................................................ 错误!未定义书签。附录 ................................................................................................................ 错误!未定义书签。

相关主题
文本预览
相关文档 最新文档