当前位置:文档之家› 111220数电复习题

111220数电复习题

111220数电复习题
111220数电复习题

《数字电路与逻辑技术》复习题

一、填空 1、数制转换:

(10101)2=( ) 10=( ) 8 =( ) 16=( ) 8421BCD

168102)(__________)(_________)(_________)0001.1011(=== (365)10=( ) 2=( ) 8 =( ) 16= ( ) 8421BCD

168210)(___________)(_____________)(_________)173(==== ( ) 8421BCD 2、时序逻辑电路通常由 和 组成。 时序逻辑电路的基本组成单元是 。

3、写出()F A BC CD =+的反函数和对偶函数:F = ____________________________;

F '=__________________________________。

写出B A B A F ?+?=的反函数和对偶函数:F = ;

'F = 。

4、一个三变量的逻辑函数,其最小项有 个,最大项有 个;若三个变量为A 、B 、C ,则它们的最小项为 ,最大项为 。 逻辑函数F(ABC)=AB+AC 的最小项之和形式为F(A,B,C)=∑m( ),最大项之积形式为F(A,B,C)∏M( )。

5、触发器从逻辑功能上分,可分为: 、 、 、 、 。

写出下列触发器的特征方程RS : , J-K : ,D : 。 T : ,T : 。 6、在数字电路中,电路任何时刻的输出只与各输入信号当前的状态有关,而与各输

入信号以前的状态无关,这种电路称为 ;描述组合逻辑电路常

用、、、和;而在数字电路中,电路任何时刻的输出不仅与各输入信号当前的状态有关,而且还与各输入信号以前的状态有关,这种电路称为;描述时序逻辑电路功能常用的方法有、、以及

、、。

7、TTL 型TS门(三态电路)的三种可能输出状态是、、

。O C门称为门,多个O C门输出端并联到一起可实现功能。

8、逻辑代数的三条基本规则是:(1)

(2)

(3)

9、电子电路中的量可以分为两大类:和。数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用和来表示。

10、数字系统中的各种数字部件,按其结构和工作原理分为两大类,即

和。

11、只有暂稳态的电路是;只有一个稳态的电路是;有两个稳态的电路是或。

12、级环形计数器,有12个无效状态。5级环形计数器,有个无效状态。

级扭环形计数器,有8个无效状态。5级扭环形计数器,有个无效状态。

13、常用的集成组合逻辑电路有、、、和

等。

14、常用的集成时序逻辑电路有和。

15、施密特触发器(简称ST)常用于、、。

16、DAC的转换精度决定于。

17、已知逻辑函数F AB AB AB

=++,则F的最简“与-或”表达式为。

18、与非门带负载后,负载电流的流向有两种情况,一种是从外电路流入与非门,称为__________________负载;另一种是从与非门流向外电路,称为___________________负载。

19、n个输入端的二进制译码器,共有个输出端。n个输出端的二进制编码器,可以有个输入端。

20、当CP脉冲高电平较宽时,在CP=1期间,同步RS触发器的状态会随其输入信号的改变而多次翻转,这种现象称为。为防止“空翻现象”,可采用结构或结构的触发器。

(在一个C P 脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的 ,触发方式为 式或 式的触发器不会出现这种现象。)

21、时序电路输出状态的改变与 有关。

22、构造一个模12同步计数器,需要_______个状态,至少需要_______个触发器。M 进制计数器状态转换的特点是设初态后,每来 个CP 时,计数器又重回初态;经过有限个CP ,可由任意一个无效状态进入有效状态的计数器是能 的计数器;要构成5进制计数器,至少需要 个触发器。欲构成能记最大十进制数为999的计数器,至少需要 个触发器。

23、逻辑电路中的竞争冒险是由逻辑门电路的 引起的,判断竞争冒险的方法有 和 ;消除竞争冒险的方法有 、 、 、 和 。

24、下图所示单稳态触发器的暂稳态维持时间为 。

25、时序逻辑电路按其状态改变是否受统一的信号(CP)控制,可分为 和________________两种类型。

26、触发器有 个稳态,存储8位二进制信息要 个触发器。 27、一个基本R S 触发器在正常工作时,不允许输入R=S =1的信号,因此它的约束条件是 。

28、555只要外部配上两三个阻容元件,就可以构成 、 或 。

二.选择

1、逻辑函数C B A F ⊕⊕=的对偶式为( )

A 、C

B A ⊕⊕ B 、A ⊙B ⊙

C C 、C B A ⊕⊕

D 、A ⊙B ⊙C 2、在( )情况下,“与非”运算的结果是逻辑“0”

A 、全部输入是0

B 、任一输入是0

C 、仅一输入是0

D 、全部输入是1 3、下列逻辑式中,不正确的是( )

A 、D

B A D A CDE BD B A +=+++ B 、

C A C B B A C A C B B A ++=++

5

R

7

6

2 8 4

3 1

+V CC

u o

u i

C

555

C 、A E

D C B A =⊕⊕⊕⊕⊙B ⊙C ⊙D ⊙E

D 、1=+D C B A ABCD

E 、A+A ⊙B=B A + 4、下列电路中,不属于组合逻辑电路的是( )

A 、译码器

B 、编码器

C 、全加器

D 、寄存器

5、八路数据选择器,其地址输入端(选择控制端)有( )

A 、 1个

B 、2个

C 、3个

D 、4个

E 、8个

6、由两个或非门构成的RS 触发器,如果R=S=0,则触发器的状态应为( ) A 、置0 B 、置1 C 、Q n+1= Q n D 、Q n+1=φ

7、 用n 个触发器构成计数器,可得到的最大计数长度(模)为( )

A 、n

B 、2n

C 、n

D 、2n

8、图一所示电路的起始状态为Q 2Q 1=01,经一个时钟脉冲CP 作用后,其状态为( )

A 、Q 2Q 1=00

B 、Q 2Q 1=01

C 、Q 2Q 1=10

D 、Q 2Q 1=11

图 一

9、下图所示时序电路的逻辑功能是( )

A 、模8同步加法计数器

B 、模8异步减法计数器

C 、模8异步加法计数器

D 、模8双向(可逆)异步计数器

图 二

10、JK 触发器在CP 脉冲作用下,欲使Q n+1= 0,则输入信号应为( )

A 、J=Q ,K=1

B 、J=Q ,K= Q

C 、J=K=0

D 、J= 0,K=1

E 、J= Q ,K=0

11、逻辑函数F=A ⊕(B ⊕A)=( )

A 、

B B 、 A

C 、A ⊕B

D 、A ⊕B

12、D C A B C D A B A F )())((++++=和ACD C B A G +⊕=)(函数之间的关系是( )

A 、G F =

B 、G F ?

C 、G F ?

D 、G F =

13、函数C AD C B A BC D A F ++++=)()(的对偶式d F 的最简与或式为( )

A 、))((D A C

B A

C B

D A F d ?++?++= B 、D C B A AC F d ???+= C 、C B A C A F d ??+= D 、))((D C A B A F d +++= 14、下图所示电路为普通TTL 门电路,输出高电平V V OH 6.3=,低电平V V O L 3.0=,门电路的关门电阻Ω=K R off 8.0,开门电阻Ω=K R on 2,则图(a )和图(b )的输出为( )

A 、V F V F b a 3.0,6.3==

B 、V F V F b a 6.3,3.0==

C 、V F V F b a 3.0,3.0==

D 、V F V F b a 6.3,6.3==

E 、AB

F V F b a ==,6.3

a

图 一

15、下列触发器中克服了“空翻”现象的有( )

A 、边沿D 触发器

B 、主从RS 触发器

C 、同步RS 触发器

D 、主从JK 触发器 16.电路如下图所示,在( )的条件下,D D R S 、才能起到直接置位和复位的作用。

A 、CP=1

B 、CP=0

C 、与CP 无关

17、5个D 触发器构成的环形计数器,其计数长度为( )

A 、5

B 、10

C 、25

D 、32 18、n 个触发器构成的扭环计数器中,无效状态有( )个。

A 、n

B 、n 2

C 、12-n

D 、n n 22- 19、电路如下图所示,则输出F 的表达式为 。

A 、F =A+B+C

B 、F =AB

C C 、F =(A+B )C

D 、F =A (B+C ) 20、同步RS 触发器的触发时刻为 。

A 、CP =1期间

B 、CP =0期间

C 、 CP

D 、CP

21、某计数器的三个触发器输出端Q 2、Q 1、Q 0的波形如下图所示,该计数器为 。

A 、五进制计数器

B 、六进制计数器

C 、七进制计数器

D 、八进制计数器 22、将两片同步4位二进制加法计数器级联后,用进位输出置数法,构成M 进制计数器,预置数端的数据N 应是 。

A 、256-M

B 、28-N

C 、M -N

23、D 触发器用做T ’触发器时,控制端D 的正确接法是 。

A 、D =Q n

B 、D =Q n

C 、

D =1 D 、D =0 24、同步RS 触发器的特性方程是 。

A 、Q n+1=S+RQ n

B 、{

C 、Q n+1=S+RQ n

D 、

{

25、时序电路输出状态的改变 。

A 、仅与该时刻输入信号的状态有关

B 、仅与时序电路的原状态有关

C 、与A 、B 皆有关

D 、与A 、B 皆无关 26、下面给出的DAC 的转换误差最小的是 。

A 、1 LS

B B 、0.8 LSB

C 、0.5 LSB

D 、0.2 LSB 27、计数器在电路组成上的特点是 。

A 、有CP 输入端,无数码输入端

B 、有CP 输入端和数码输入端

C 、无CP 输入端,有数码输入端

D 、无CP 输入端,无数据输入端

V CC R

F

A B

C

Q 2

Q 1

Q 0 RS =0

Q n+1=S+RQ n

Q n+1=S+RQ n RS =1

28、设多谐振荡器的输出脉冲宽度和脉冲间隔时间分别为T H 和T L ,则脉冲波形的占空比

为 。

A 、T H /(T H +T L )

B 、T L /(T H +T L )

C 、T H /T L

D 、T L /T H 29、下图是由CMOS 或非门构成的 。

A 、施密特触发器

B 、正弦波发生器

C 、多谐振荡器

D 、单稳态触发器

30.n 位DAC 的分辨率可表示为 。

A 、1/(2n -1)

B 、1/(2n -

1) C 、1/2n

31

.下图所示电路的输出函数表达式为 。

A 、L =A

B ·B

C ·AC B 、L =AB+BC+AC C 、L =BC+AB+AC

D 、L =A ⊙B+B ⊙C

E 、L=(A ○+B )(B ○

+C )

32、与同步计数器相比,异步计数器的特点是 。

A 、结构复杂,速度快

B 、结构复杂,速率慢

C 、结构简单,工作频率低

D 、结构复杂,工作频率高 32、卡诺图如下图所示,实现该逻辑函数L 的电路为 。

33、在一个8位的存储单元中,能够存储的最大无符号整数是 。 A .(256)10 B .(127)10 C .(FF )16 D .(255)10

34、T T L 电路在正逻辑系统中,以下各种输入中 相当于输入逻辑“1”。 A.悬空 B.通过电阻 2.7k Ω接电源 C.通过电阻 2.7k Ω接地 D.通过电阻510Ω接地

u i

≥1 ≥1

u o

A

B A B C

C

& ≥1

L

& ≥1

&

+V CC

C &

L

A .

+V CC

C

L

≥1

B .

C

L

&

C .

C

L

≥1

D .

35、下列信号中,( )是数字信号。

A、交流电压

B、开关状态

C、交通灯状态

D、无线电载波信号

36、标准与或式是由( )构成的逻辑表达式。

A、与项相或

B、最小项相或

C、最大项相与

D、或项相与

37、组合逻辑电路输出与输入的关系可用( ) 表述。

A、真值表

B、状态图

C、状态表

D、逻辑表达式

38、逻辑函数的表示方法中具有唯一性的是。

A .真值表 B.表达式 C.逻辑图 D.卡诺图

39、以下各电路中,可以产生脉冲定时。

A.多谐振荡器

B.单稳态触发器

C.施密特触发器

D.石英晶体多谐振荡器

40、矩形脉冲信号的参数有。

A.周期

B.占空比

C.脉宽

D.扫描周期

41、三态门输出高阻状态时,是正确的说法。

A.用电压表测量指针不动

B.相当于悬空

C.电压不高不低

D.测量电阻指针不动

42、组合逻辑电路的分析是指()

A、已知逻辑图,求解逻辑表达式的过程

B、已知逻辑图,求解真值表的过程

C、已知逻辑图,求解逻辑功能的过程

D、已知逻辑图,求解卡诺图的过程

43、要构成容量为4K×8的RAM,需要片容量为256×4的RAM。

A. 2

B. 4

C. 8

D. 32

44.多谐振荡器可产生。

A.正弦波

B.矩形脉冲

C.三角波

D.锯齿波

45、A+BC= 。

A . A+

B B. A+

C C. (A+B)(A+C) D. B+C

46、若在编码器中有50个编码对象,则要求输出二进制代码位数为位。

A. 5

B. 6

C. 10

D. 50 47、采用OC 门主要解决了( )。 A 、TTL 与非门不能实现总线传输的问题 B 、TTL 与非门输出不能“线与”的问题 C 、TTL 与非门不能相或的问题 D 、TTL 与非门用作或门的问题

三.逻辑函数化简

用代数法将下列函数式化为最简与-或式

(1) L 1=AB (C+D )+(A+B )·C ·D+C ○

+D ·D (2) L 2=A (AC+BD )+B (C+DE )+BC (3)CD B A D C B A CD B A D C B A F +++=1 (4))(2C B C A A BC A F ++= (5)D C A BD B C A F ++=)(1 (6)C A B B A AB F )(2++= (7)F =AB+BD +DCE+DA

(8)F =ABCD+ABD+BCD+ABC+BD+BC (9)F=ABC+A+B+C

(10)F=A+(B+C )(A+B+C )(A+B+C )

上课布置的习题。

四、组合逻辑电路分析与设计

1.写出图三中321,,Z Z Z 的逻辑函数式,并化简为最简的与或表达式。(74LS42为二——十进制译码器)

图 三

2.图 示是用两个四选一数据选择器组成的逻辑电路,试写出输出Z 与输入M ,N ,P ,Q 之间的逻辑函数式。已知数据选择器的逻辑函数式为:

S A A D A A D A A D A A D Y ?+++=][013012011010

3.用与非门实现函数Y=BC+AC 。

4.用异或门和与非门设计一个全加器(要求电路尽量简单)。

5.用与非门设计一个全减器电路。电路的输入量是Ai 被减数,Bi (减数),Ci-1(低位借位)输出量为Di (差),Ci (本位借位)。写出逻辑函数表达式,画出逻辑图。

6.试写下图各逻辑图的表达式。(6分)

7.用八选一数据选择器产生逻辑函数:D C B BC CD B A D C A Y +++=,请画出设计线路,可以附加必要的逻辑门电路。

8.用八选一数据选择器实现函数Y=∑m(0,2,3,5,6,8,10,12)(7分)

9.用四选一数据选择器实现)7,4,1,0(),,(∑

=m C B A F ,画出设计线路。

10.用八选一数据选择器CC4512(工作时DIS 端和INH 端需接地)实现逻辑函数F=A+B+C 。

11、用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。

12、用三-八译码器74LS138,实现函数C C A B F +=,请画出设计线路,可以附加必要的逻辑门电路。

13、试分析图示电路,已知图中电路多路选择器,写出输出函数F 的逻辑表达式。

课堂布置的习题。

五.画波形图(假设触发器初态为0)。

3.若在图四(a)所示的电路输入端加入如图四(b)所示的波形。试画出Q Q ,端的波形

1.

=1

Q 1

J 1

>C 1 K 1

Q 1 F 1

Q 2

J 2 >C 2 K 2

Q 2 F 2

CP

A

A Q 1

Q 2

2. 1

Q 1 J 1 >C 1

Q 1

F 1

Q 2

J 2 >C 2 K 2

Q 2 F 2

CP D

D Q 1

Q 2

CP

A

( a )

( b )

图 四

4.对于下图 (a)所示的主从JK 触发器,图中1==D D R S ,若在CP ,J ,K 端分别加上下图 (b)所示的波形,试画出Q Q ,端的波形。

CP

J

K

图 四

( a )

( b )

5、画出下图所示电路的T 点和输出Q 端的波形。

CP

A

B

C T Q

6、下图是用维持阻塞D 触发器组成的脉冲分频电路。试画出在一系列CP 脉冲作用下 Q1、Q2和Z 端的输出电压波形。设触发器的初始状态Q=0。

CP

Z

7.画出下列触发器的波形

8.各触发器的初态均为“0” CP

9.设图题中的触发器的初态均为0,试画出对应A 、B 的X 、Y 的波形(

10.电路如图题(a )所示,若输入信号u I 如图(b)所示,请画出u o 的波形。(6分)

Q1 Q2

R的波形如图所示,11、已知下降沿有效的JK触发器CP、J、K及异步置1端d S、异步置0端d

试画出Q的波形(设Q的初态为0)。

六、时序逻辑电路分析设计

1.试分析下图所示时序电路的逻辑功能。

1)写出电路的驱动方程、状态方程和输出方程。

2)作出电路的状态转换表、画出电路的状态转换图,检查电路能否自启动。

2.试分析图六所示电路。1)作出状态表和状态图。

2)画出该电路在置0脉冲作用后,在计数脉冲CP 的作用下41~Q Q 的

时序波形图,并说明该电路的逻辑功能。

图 六

3.分析下图电路的逻辑功能。

CP

4.分析图示电路:

(1)写出驱动方程、时钟方程、输出方程。 (2)写出状态方程。 (3)画状态转换真值表、状态转换图、时序图。 (3)说明其逻辑功能,检查自启动功能。

T 1 >C

Q 1 T 2 >C

Q 2 T 3 >C

Q 3 T 4 >C

Q 4 &

上课布置的分析习题。

5.下列电路各为几进制计数器?画出各自的状态转换图。(6分)

6.已知同步十进制加法计数器74160的引脚如图所示,用其设计一个31进制的计数器。

7.试用同步十进制计数器74160设计一个53进制计数器。

8.已知同步十进制集成计数器74160的引脚接口如图所示,用其设计一个100进制的计数器。

9.已知某时序电路的状态转换图如图七所示,请用D触发器实现这一电路。画出逻辑电路图。

1

2Q Q X/Z

图 七

10.用JK 触发器和必要的门电路设计一个带有进位输出端的十二进制计数器。 11. 设计一个同步5进制加法计数器(使用JK 触发器)。

11.用T 触发器作为存储元件,设计一个2位二进制减1计数器。电路工作状态受输入信号x 控制。当x=0,时电路状态不变;当x=1时,在时钟脉冲的作用下进行减1计数。计数器有一个输出Z ,当产生借位时,Z 输出为1,其他情况Z 为0。

12.四位二进制同步可逆计数器74LS193的逻辑符号及功能表如下图所示。试用74LS193构成模10加法计数器,要求计数范围为①从0110~1111;②从0000~1001。

13.CMOS 器件CC40161为同步二进制加法计数器,具有同步预置数、清零和保持功能。其功能表如下:

LD

Q D Q C Q B Q A D C B A

C r Q cc

Q cb CP U

CP D

进位输出 借位输出

试用两片CC40161构成一百八十三进制计数器。

14.设计一台简易自动售票机控制电路。要求投入五元、两元或两枚一元硬币,输出一张两元邮票,并找出多余的钱。要求用与非门实现,且无反变量输入。

上课布置的74LS161设计计数器习题。

逻辑功能图:

Cr

C Q A Q B Q C Q D

E T L D CP A B C D E P

A 、

B 、

C 、

D 为输入,

Q A 、Q B 、Q C 、Q D 为输出,C 为进位输出。

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。) 1、逻辑电路可以分为 组合逻辑电路 电路和 时序逻辑电路 电路。 2、数字电路的基本单元电路是 门电路 和 触发器 。 3、数字电路的分析工具是 逻辑代数(布尔代数) 。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (10010 )8421BCD 6、数字电路中的最基本的逻辑运算有 与 、 或 、 非 。 7、逻辑真值表是表示数字电路 输入和输出 之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的 或门 。 9、表示逻辑函数的4种方法是 真值表 、 表达式、 卡诺图 、 逻辑电路图 。 其中形式惟一的是 真值表 。 10、对于变量的一组取值,全体最小项之和为 1 。 11、对于任意一个最小项,只有一组变量的取值使其值为 1 ,而在变量取其他各组值时 这个最小项的取值都是 0 。 12、对于变量的任一组取值,任意两个最小项之积为0。 13、与最小项ABC 相邻的最小项有C AB 、C B A 、BC A 。 14、组合逻辑电路的特点是 输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件) 。 15、按电路的功能分,触发器可以分为 RS 、 JK 、 D 、 T 、 T ’。 16、时序电路可分为 同步时序逻辑电路 和 异步时序逻辑电路 两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含 驱动方程 、 输出方程 、 状态方程 )、 状态图 、 状态表 、 时序图 。 18、(251)10 =()2 =(FB )16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为 RAM 和 ROM 。 21、RAM 可分为 动态RAM 和 静态RAM 。 22、存储器以 字 为单位组织内部结构,1个字含有 若干 个存储单元。1个字中所含的位数(即存储单元的个数)称为字长。字数与字长的乘积表示存储器的 容量 。字数决定 存储器的地址线的颗数 ,字长决定 存储器的数据线的颗数 。

数字电路复习题及答案(精编文档).doc

【最新整理,下载后即可编辑】 数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。) 1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为1。 11、对于任意一个最小项,只有一组变量的取值使其值为1, 而在变量取其他各组值时这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。

15、按电路的功能分,触发器可以分为 RS 、 JK 、 D 、 T 、 T ’。 16、时序电路可分为 同步时序逻辑电路 和 异步时序逻辑电路 两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含 驱动方程 、 输出方程 、 状态方程 )、 状态图 、 状态表 、 时序图 。 18、(251)10 =(11111011)2 =(FB )16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为 RAM 和 ROM 。 21、RAM 可分为 动态RAM 和 静态RAM 。 22、存储器以 字 为单位组织内部结构,1个字含有 若干 个存储单元。1个字中所含的位数(即存储单元的个数)称为字长。字数与字长的乘积表示存储器的 容量 。字数决定 存储器的地址线的颗数 ,字长决定 存储器的数据线的颗数 。 1、用公式化简下列逻辑函数 (1)、B A B B A Y ++==A+B (2)、C B A C B A Y +++==1 (3)、C B A C B A Y +++==C B (4)、D C A ABD CD B A Y ++==AD (5)、CD D AC ABC C A Y +++==A+CD

数字电子技术试卷及答案五套

数字电子技术试卷 一、选择题: A组: 1.如果采用偶校验方式,下列接收端收到的校验码中,( A )是不正确的 A、00100 B、10100 C、11011 D、11110 2、某一逻辑函数真值表确定后,下面描述该函数功能的方法中,具有唯一性的是(B)A、逻辑函数的最简与或式B、逻辑函数的最小项之和 C、逻辑函数的最简或与式 D、逻辑函数的最大项之和 3、在下列逻辑电路中,不是组合逻辑电路的是(D) A、译码器 B、编码器 C、全加器 D、寄存器 4、下列触发器中没有约束条件的是(D) A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 5、555定时器不可以组成D。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6、编码器(A)优先编码功能,因而(C)多个输入端同时为1。 A、有 B、无 C、允许 D、不允许 7、(D)触发器可以构成移位寄存器。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 8、速度最快的A/D转换器是(A)电路 A、并行比较型 B、串行比较型 C、并-串行比较型 D、逐次比较型 9、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K触发器 B. R-S触发器 C. D触发器 D. T触发器 10.(电子专业作)对于VHDL以下几种说法 错误的是(A ) A VHDL程序中是区分大小写的。 B 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义元件的引脚 D 结构体是描述元件内部的结构和逻辑功能 B组: 1、微型计算机和数字电子设备中最常采用的数制是--------------------------------( A ) A.二进制 B.八进制 C. 十进制 D.十六进制 2、十进制数6在8421BCD码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000

数电复习题集(含答案解析)-数电复习题集

数 电 复 习 题 选择题: 1.下列四个数中,与十进制数(163)10不相等的是( D ) A 、(A3)16 B 、(10100011)2 C 、(000101100011)8421BC D D 、(203)8 2.N 个变量可以构成多少个最小项( C ) A 、N B 、2N C 、2N D 、2N -1 3.下列功能不是二极管的常用功能的是( C ) A 、检波 B 、开关 C 、放大 D 、整流 4..将十进制数10)18(转换成八进制数是 ( B ) A 、20 B 、22 C 、21 D 、23 5.译码器的输入地址线为4根,那么输出线为多少根( C ) A 、8 B 、12 C 、16 D 、20 6.能把正弦信号转换成矩形脉冲信号的电路是(D ) A 、多谐振荡器 B 、D/A 转换器 C 、JK 触发器 D 、施密特触发器 7.三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( A ) A 、m2 B 、 m5 C 、m3 D 、 m7 8.用PROM 来实现组合逻辑电路,他的可编程阵列是( B ) A 、与阵列 B 、或阵列 C 、与阵列和或阵列都可以 D 、以上说法都不对 9.A/D 转换器中,转换速度最高的为( A )转换 A 、并联比较型 B 、逐次逼近型 C 、双积分型 D 、计数型 10.关于PAL 器件与或阵列说确的是 ( A ) A 、 只有与阵列可编程 B 、 都是可编程的 C 、 只有或阵列可编程 D 、 都是不可编程的 11. 当三态门输出高阻状态时,输出电阻为 ( A ) A 、无穷大 B 、约100欧姆 C 、无穷小 D 、约10欧姆 12为使采样输出信号不失真地代表输入模拟信号,采样频率 f s 和输入模

数电各章复习题及答案

第1章逻辑代数基础 一、选择题(多选题) 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C. 余三码 D. 格雷码 2.一位十六进制数可以用位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3.十进制数25用8421BCD码表示为。 A.10 101 B.0010 0101 C.100101 D.10101 4.与十进制数(53.5)10等值的数或代码为。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 5.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 6.常用的B C D码有。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 7.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 8. 逻辑变量的取值1和0可以表示:。 A.开关的闭合、断开 B.电位的高、低 C.真与假 D.电流的有、无 9.求一个逻辑函数F的对偶式,可将F中的。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” E.常数不变 10. A+BC= 。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 11.在何种输入情况下,“与非”运算的结果是逻辑0。 A.全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 12.在何种输入情况下,“或非”运算的结果是逻辑0。 A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 13.以下表达式中符合逻辑运算法则的是。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 14. 当逻辑函数有n个变量时,共有个变量取值组合? A. n B. 2n C. n2 D. 2n 15. 逻辑函数的表示方法中具有唯一性的是。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 16.F=A B+BD+CDE+A D= 。

数电期末试卷及答案(共4套)

XX大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) 1.逻辑函数Y AB C =+的两种标准形式分别为()、 ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。 (6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM 中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1:

复习题(数电答案)

1.下列四种类型的逻辑门中,可以用( D )实现与、或、非三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 2. 根据反演规则,CD C B A F ++=)(的反函数为(A )。 A. ))((''''''D C C B A F ++= B. ))((''''''D C C B A F ++= C. ))((''''''D C C B A F += D. ))(('''''D C C B A F ++= 3.逻辑函数F=)(B A A ⊕⊕ =( A )。 A. B B. A C. B A ⊕ D. B A ⊕ 4. 最小项ABCD 的逻辑相邻最小项是( A )。 A. ABCD B. ABCD C. ABCD D. ABCD 5. 对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。 A. 通过大电阻接地(>1.5K Ω) B. 悬空 C. 通过小电阻接地(<1K Ω) D. 通过电阻接+VCC 6. 下列说法不正确的是( C )。 A .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑。 B .三态门输出端有可能出现三种状态(高阻态、高电平、低电平)。 C .OC 门输出端直接连接可以实现正逻辑的线与运算。 D .集电极开路的门称为OC 门。 7.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 8. 若用JK 触发器来实现特性方程为1+n Q Q AB Q +=A ,则JK 端的方程为( A )。 A.J=AB ,K=A B.J=AB ,K=A C. J =A ,K =AB D.J=B A ,K=AB 9.要将方波脉冲的周期扩展10倍,可采用( C )。 A 、10级施密特触发器 B 、10位二进制计数器 C 、十进制计数器 D 、10位D/A 转换器 10. 一个16选1的数据选择器,其地址输入端有( C )个。 A.1 B.2 C.4 D.16 11. 8线—3线优先编码器的输入为I0—I7 ,当优先级别最高的I7有效时,其输出012Y Y Y ??的值是( C )。

数字电路复习题及答案.

《数字电子技术基础》复习题 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.处理 b 的电子电路是数字电路。 (a)交流电压信号(b)时间和幅值上离散的信号 (c)时间和幅值上连续变化的信号(d)无法确定 2.用不同数制的数字来表示2004,位数最少的是 d 。 (a)二进制(b)八进制(c)十进制(d)十六进制 3.最常用的BCD码是 b 。 (a)5421码(b)8421码(c)余3码(d)循环码 4.格雷码的优点是 c 。 (a)代码短(b)记忆方便(c)两组相邻代码之间只有一位不同(d)同时具备以上三者 5.两个开关控制一盏灯,只有两个开关都闭合时灯才不亮,则该电路的逻辑关系是 a 。 (a)与非(b)或非(c)同或(d)异或 6.已知F=ABC+CD,选出下列可以肯定使F=0的取值 d (a)ABC=011 (b)BC=11 (c)CD=10 (d)BCD=111 7.2004个1连续异或的结果是 a 。 (a)0 (b)1 (c)不唯一(d)逻辑概念错误 二、填空题(请在空格中填上合适的词语,将题中的论述补充完整)

1.5的5421BCD码是0101 这个是8421码的。 2.逻辑表达式中,异或的符号是⊕,同或的符号是⊙。 3.逻辑函数常用的表示方法有真值表、逻辑函数式、逻辑图和卡诺图。 4.用代数法化简逻辑函数需要一定的经验和技巧,不容易确定化简结果是否是最简。 5.用卡诺图化简逻辑函数,化简结果一般是最简与-或式。 一.选择填空题(以下每小题后均给出了几个可供选择的答案,请选择其中一个最合适的答案填入空格中) 1.实体(ENTITY)描述一个设计单元的 C D 的信息。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 2.结构体(ARCHITECTURE)用于描述设计单元的 A D 。 (a)行为、元件及连接关系(b)元件、子程序、公用数据类型(c)名称和端口的引脚等(d)可编译的设计单元 3.在VHDL语言中,ARCHITECTURE中的语句都是 B 执行的语句。 (a)顺序(b)并行(c)即可顺序也可并行(d)无法确定4.在VHDL程序设计中,下面4个部分, C 不是可编译的源设计单元。 (a)ARCHITECTURE (b)ENTITY (c)PROCESS (d)PACKAGE

数电试题与答案(五套)

《数字电子技术基础》试题一 一、 填空题(22分 每空2分) 1、 =⊕0A , =⊕1A 。 2、JK 触发器的特性方程为: 。 3、单稳态触发器中,两个状态一个为 态,另一个为 态.多谐振荡器两个状态都为 态, 施密特触发器两个状态都为 态. 4、组合逻辑电路的输出仅仅只与该时刻的 有关,而与 无关。 5、某数/模转换器的输入为8位二进制数字信号(D 7~D 0),输出为0~25.5V 的模拟电压。若数字信号的最低位是“1”其余各位是“0”,则输出的模拟电压为 。 6、一个四选一数据选择器,其地址输入端有 个。 二、 化简题(15分 每小题5分) 用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈 1)Y (A,B,C,D )=∑m (0,1,2,3,4,5,6,7,13,15) 2)∑∑+=)11,10,9,3,2,1()15,14,13,0() ,,,(d m D C B A L 利用代数法化简逻辑函数,必须写出化简过程 3)________________________________________ __________)(),,(B A B A ABC B A C B A F +++= 三、 画图题(10分 每题5分) 据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、 2、 四、 分析题(17分) 1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分) 2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分) 五、 设计题(28分) 1、 用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯 亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制 电路真值表,要求用74LS138和适当的与非门实现此电路(20分)

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

五套电力系统分析试题(含参考答案)

电力系统分析试题(含答参考案) 2018.08 一、 一、填空题 1.降压变压器高压侧的主分接头电压为220kv ,若选择+2×2.5%的分接头,则该分接头电压为 231KV 。 2.电力系统中性点有效接地方式指的是 中性点直接接地 。 3.输电线路的电气参数包括电抗、电导、电纳和 电阻 。 4.输电线路的电压偏移是指线路始端或末端母线的实际运行电压与线路 额定电压 的数值差。 5.电力系统的潮流分布一般是用各节点的电压和 功率 表示。 6.调整发电机组输出的有功功率用来调整电力系统运行的 频率 。 7.复合故障一般是指某一时刻在电力系统 二个及以上地方 发生故障。 8.用对称分量法计算不对称故障,当三相阻抗完全对称时,则其序阻抗矩阵Zsc 的非对角元素为 零 。 9.系统中发生单相接地短路时故障点短路电流的大小是零序电流的 3 倍。 10.减小输出电元件的电抗将 提高(改善) 系统的静态稳定性。 二、单项选择题在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在题后的括号内。 11.同步发电机的转速和系统频率之间是否有严格的关系( ② ) ①否 ②是 ③不一定 ④根据发电机的形式定 12.三绕组变压器的结构、通常将高压绕组放在( ③ ) ①内层 ②中间层 ③外层 ④独立设置 13.中性点以消弧线圈接地的电力系统,通常采用的补偿方式是( ③ ) ①全补偿 ②欠补偿 ③过补偿 ④有时全补偿,有时欠补偿 14.三相导线的几何均距越大,则导线的电抗( ② ) ①越大 ②越小 ③不变 ④无法确定 15.变压器的电导参数G T ,主要决定于哪一个实验数据( ① ) ①△P O ②△P K ③U K % ④I O % 16.当功率的有名值为s =P +jQ 时(功率因数角为?)取基准功率为S n ,则有功功率的标么值为( ③ ) ① ?cos S P n ? ②?sin S P n ? ③n S P ④n S cos P ?? 17.环网中功率的自然分布是( ④ ) ①与电阻成正比分布 ②与电抗成正比分布 ③与阻抗成正比分布 ④与阻抗成反比分布

数电各章复习题及答案

第1章 逻辑代数基础 一、选择题(多选题) 1.以下代码中为无权码的为 。 A. 8421BCD 码 B. 5421BCD 码 C. 余三码 D. 格雷码 2.一位十六进制数可以用 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3.十进制数25用8421BCD 码表示为 。 A.10 101 B.0010 0101 C.100101 D.10101 4.与十进制数(53.5)10等值的数或代码为 。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.1)2 D.(65.4)8 5.与八进制数(47.3)8等值的数为: A. (100111.011)2 B.(27.6)16 C.(27.3 )16 D. (100111.11)2 6.常用的B C D 码有 。 A.奇偶校验码 B.格雷码 C.8421码 D.余三码 7.与模拟电路相比,数字电路主要的优点有 。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 8. 逻辑变量的取值1和0可以表示: 。 A.开关的闭合、断开 B.电位的高、低 C.真与假 D.电流的有、无 9.求一个逻辑函数F 的对偶式,可将F 中的 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” E.常数不变 10. A+BC= 。 A .A + B B.A + C C.(A +B )(A +C ) D.B +C 11.在何种输入情况下,“与非”运算的结果是逻辑0。 A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 12.在何种输入情况下,“或非”运算的结果是逻辑0。 A .全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1 13.以下表达式中符合逻辑运算法则的是 。 A. C ·C =C 2 B.1+1=10 C.0<1 D.A +1=1 14. 当逻辑函数有n 个变量时,共有 个变量取值组合? A. n B. 2n C. n 2 D. 2n 15. 逻辑函数的表示方法中具有唯一性的是 。 A .真值表 B.表达式 C.逻辑图 D.卡诺图 16. F=A B +BD+CDE+A D= 。 A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 17. 逻辑函数F=)(B A A ⊕⊕ = 。

数字电路复习题(含答案)

一、填空题: 1.在计算机内部,只处理二进制数;二制数的数码为1 、0两个;写出从(000)2 依次加1的所有3位二进制数:000、001、010、011、100、101、110、111 。2.13=(1101)2;(5A)16=(1011010)2;(10001100)2=(8C)16。 完成二进制加法(1011)2+1=(1100)2 3.写出下列公式:= 1 ; = B ; = A+B ; =B A 。 4.含用触发器的数字电路属于时序逻辑电路(组合逻辑电路、时序逻辑电路)。 TTL、CMOS电路中,工作电压为5V的是TTL ;要特别注意防静电的是CMOS 。 5.要对256个存贮单元进行编址,则所需的地址线是8 条。 6.输出端一定连接上拉电阻的是OC 门;三态门的输出状态有1 、0 、高阻态三种状态。 7.施密特触发器有 2 个稳定状态.,多谐振荡器有0 个稳定状态。8.下图是由触发器构成的时序逻辑电路。试问此电路的功能是移位寄存器, 是同步时序电路(填同步还是异步),当R D=1时,Q0Q1Q2Q3= 0000 ,当R D=0,D I=1,当第二个CP脉冲到来后,Q0Q1Q2Q3= 0100 。 (图一) 1.和二进制数(111100111.001)等值的十六进制数是( B ) A.(747.2) 16B.(1E7.2) 16 C.(3D7.1) 16 D.(F31.2) 16 R CP

2.和逻辑式B A C B AC+ +相等的式子是( A ) A.AC+B B. BC C.B D.BC A+ 3.32位输入的二进制编码器,其输出端有( D )位。 A. 256 B. 128 C. 4 D. 5 4.n位触发器构成的扭环形计数器,其无关状态数为个( B ) A.2n-n B.2n-2n C.2n D.2n-1 5.4个边沿JK触发器,可以存储( A )位二进制数 A.4 B.8 C.16 6.三极管作为开关时工作区域是( D ) A.饱和区+放大区B.击穿区+截止区 C.放大区+击穿区D.饱和区+截止区 7.下列各种电路结构的触发器中哪种能构成移位寄存器( C ) A.基本RS触发器B.同步RS触发器C.主从结构触发器8.施密特触发器常用于对脉冲波形的( C ) A.定时B.计数C.整形 1.八进制数 (34.2 ) 8 的等值二进制数为11100.01 ;十进制数 98 的8421BCD 码为10011000 。 2.试写出下列图中各门电路的输出分别是什么状态(高电平、低电平)?(其中(A)(B)为TTL门电路,而(C)为CMOS门电路) (A)(B)(C) Y 1= 02 Y 2 = 1 Y 3 = 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4.单稳态触发器有一个稳定状态和一个暂稳状态。施密特触发器有

数电复习资料(含答案)期末考试

数电 第一章 一、选择题 1.以下代码中为无权码的为。 A. 8421BCD码 B. 5421BCD码 C.余三码 D.格雷码 2.以下代码中为恒权码的为。 码B. 5421BCD码C.余三码D.格雷码 3.一位十六进制数可以用位二进制数来表示。A.1B.2C.4D. 16 4.十进制数25用8421BCD码表示为。101 0101 5.在一个8位的存储单元中,能够存储的最大无符号整数是。 A.(256)10 B.(127)10 C.(FF)16 D.(255)10 6.与十进制数()10等值的数或代码为。 A.(0101 8421BCD B.16 C.2 D.8 7.矩形脉冲信号的参数有。A.周期 B.占空比 C.脉宽 D.扫描期8.与八进制数8等值的数为: A.2 B.16 C. )16 D.2 9.常用的B C D码有。A.奇偶校验码 B.格雷码码 D.余三码 10.与模拟电路相比,数字电路主要的优点有。 A.容易设计 B.通用性强 C.保密性好 D.抗干扰能力强 二、判断题(正确打√,错误的打×) 1. 方波的占空比为。() 2. 8421码1001比0001大。() 3. 数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。()

4.格雷码具有任何相邻码只有一位码元不同的特性。() 5.八进制数(18)8比十进制数(18)10小。() 6.当传送十进制数5时,在8421奇校验码的校验位上值应为1。()7.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。() 8.占空比的公式为:q = t w / T,则周期T越大占空比q越小。() 9.十进制数(9)10比十六进制数(9)16小。() 10.当8421奇校验码在传送十进制数(8)10时,在校验位上出现了1时,表明在传送过程中出现了错误。() 三、填空题 1.描述脉冲波形的主要参数有、、、、、、。 2.数字信号的特点是在上和上都是断续变化的,其高电平和低电平常用 和来表示。 3.分析数字电路的主要工具是,数字电路又称作。 4.在数字电路中,常用的计数制除十进制外,还有、、。 5.常用的BCD码有、、、等。常用的可靠性代 码有、等。 6.(.1011)2=( )8=( )16 7.( 8 =()2 =( )10=( )16=( )8421BCD 8.)10=()2=( )8=( )16 9.( 16=()2=( )8=( )10= ( )8421BCD 10.( 0111 1000)8421BCD=() =( )8=( )10=( )16 2 四、思考题 1在数字系统中为什么要采用二进制2格雷码的特点是什么为什么说它是可靠性代码3奇偶校验码的特点是什么为什么说它是可靠性代码

数字电路试题五套含答案

《数字电子技术》试卷一 一、 填空(每空1分,共25分) 1、(10110)2=( )10=( )16 (28)10=( )2=( )16 (56)10=( )8421BCD 2、最基本的门电路是: 、 、 。 3、有N 个变量组成的最小项有 个。 4、基本RS 触发器的特征方程为_______ ,约束条件是 __. 5、若存储器的容量是256×4RAM,该RAM 有 ___存储单元,有 字,字长 _____位,地址线 根。 6、用N 位移位寄存器构成的扭环形计数器的模是________. 7、若令JK 触发器的J=K=T 则构成的触发器为_______. 8、如图所示,Y= 。 9、如图所示逻辑电路的输出Y= 。 10、已知Y=D AC BC B A ++,则Y = ,Y/= 。 11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的输出不仅仅取决于此刻的_______;还与电路 有关。 二、 化简(每小题5分,共20分) 1、公式法化简 (1)Y=ABC ABC BC BC A ++++ (2)Y ABC A B C =+++

2、用卡诺图法化简下列逻辑函数 =+++ (1)Y BCD BC ACD ABD Y=∑+∑ (2)(1,3,4,9,11,12,14,15)(5,6,7,13) m d 三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波形(10 分) 四、用74LS161四位二进制计数器实现十进制计数器(15分)

五、某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分) P Q A Q B Q C Q D C T 74LS161 LD CP Q A 、Q B 、Q C 、Q D :数据输出端; A 、B 、C 、D :数据输入端; P 、T :计数选通端; r C :异步复位端; CP :时钟控制输入端; D L :同步并置数控制端;

数字电子技术复习题三套含答案

复习题一 1.下列四个数中,与十进制数(163)10不相等的是D 、(203)8 2.N 个变量可以构成多少个最大项C 、2N 3.下列功能不是二极管的常用功能的是C 、放大 5.译码器的输入地址线为4根,那么输出线为多少根( 16 ) 6.用或非门构成钟控R-S 触发器发生竞争现象时,输入端的变化是00→11 7.一个4K 赫兹的方波信号经4分频后,下列说法错误的是B 、周期为2π×10- 3秒 8.用PROM 来实现组合逻辑电路,他的可编程阵列是(或阵列 ) 9.A/D 转换器中,转换速度最高的为( A 、并联比较型 )转换 10.MAXPLUS-II 是哪个PLD 厂家的PLD 开发软件( B 、Altera 1.存储器按存取方式可分为三类,即:1. SAM , RAM , ROM 2.设4位逐次逼近型A/D 转换器的电压转换范围为0-15V ,采用四舍五入法量化,模拟输入电压为8.59V ,转换的逼近过程是(其中括号中用?表示保留,×表示不保留 1000(? )→1100(× )→1010(× )→1001(? )→1001 3.时序电路中的时序图的主要作用是:用于在实验中测试检查电路得逻辑功能和用于计算机仿真模拟 4.施密特触发器在波形整形应用中能有效消除叠加在脉冲信号上的噪声,是因为它具有滞后特性 5.既能传送模拟信号,又能传送数字信号的门电路是. CMOS 传输门 三、简答题(每小题5分,共10分)1.请写出RS 、JK 、D 、T 触发器的状态转移方程,并解释为什么有的触发器有约束方程。 2.请回答两个状态等价的条件是什么? 四、分析题(25分)1.分析如图由3线-8线译码器74LS138构成的电路,写出输出S i 和C i 的逻辑函数 表达式,说明其逻辑功能。(6分) 2.问图示电路的计数长度N 是多少?能自启动吗?画出状态转换图。(7分) 3.分析如图电路,列出状态转换图,说明它的功能。其中74195为集成移位寄存器器,LD SH /为移位和同步置数控制端,CR 为异步清零端,J 和K 为工作方式控制端,控制功能表如下。(12分)

《数字电路》复习题部分答案

一、填空题20分 1、逻辑分析、逻辑设计的概念 2、数字电路的分类、研究方法 3、逻辑函数的表示有四种:逻辑电路图、其中后三种之间可以相互转换。 逻辑变量和函数只有两种取值,而且它们只是表示两种不同的逻辑状态。逻辑代数有三种基本运算。 4、逻辑代数的定理、规则的应用(例:求反函数) 5、最小/大项的性质 6、由真值表写出函数表达式 7、什么是功能模块,小规模中规模设计追求的目标 8、中规模器件(编码器,译码器,比较器,选择器,加法器的(1)逻辑功能(2)输入/出的数量关系 【 9、触发器的稳态的互补性,分类,特性方程 10、时序电路的组成,特点,分类 11、构造一个模N的计数器需要状态,需要触发器 12、代码的转化,例()8421=()10=()2 12、PLD常识概念(PLD PLA PAL GAL 及基本结构) 二、利用真值表证明函数相等(或函数化简)10分 三、分析题30分 1、分析组合电路 2、时序电路例题 四、设计题目40分 , 1、用门电路设计实现组合电路(15分) 2、用3-8译码器(输出低电平有效)/选择器设计实现 (10分) ①三输入表决电路②全加器/全减器 ③两位数比较器电路④优先权判断电路 3、时序电路的分析设计(15分) 分析设计可满足给定的时序波形图要求的时序电路 ~

" 模拟题 一、填空题 1、对现成的数字电路,研究它的逻辑功能称为 逻辑分析 ; 而 设计它的逻辑电路 称为逻辑设计。 2、数字逻辑电路可分为 组合逻辑电路 和 时序逻辑电路 两大类。 3、表示逻辑函数的方法有四种 逻辑代数 、 真值表 、卡诺图 和逻辑图,前三者各有特点,适应于不同的场合,它们之间存在内在的联系,可相互转换。 4、逻辑变量和函数只有 “0”或“1” 两种取值,而且它们只是表示两种不同的逻辑状态。 : 5、逻辑代数有 与运算 、 或运算 和 非运算 三种基本运算。 6、相同变量构成的最小项mi 和最大项Mi ,应满足= 0 ,Mi+mi= 1 。 7、1983=( 000 )8421码 (1100110)B =( 1010101 )Gray 8、使用小规模集成电路的逻辑设计,其设计目标追求的是 所用的门电路越少越好 。 9、采用MSI 器件为基础的设计,主要考虑的是 所设计的电路能否满足功能要求、可靠性要求及价格要求,尽量减少集成器件数 。 10、二进制一位全加器是实现 两个一位二进制数进行相加并考虑低位来的进位求得和及向高位进位的 逻辑功能的逻辑电路。 11、逻辑函数D C B A F ?+?=,其反函数为 A+B (C+D)()。 12、若逻辑函数F(A,B,C)=∑m(1,2,4,6) , G(A,B,C)=∑m(0,1,2,3,4,5,7),则F 和G 相与的结果为 ABC+BC 。 13、函数D D C C B C A AB F ++++=的最简与或式为 AB+C+D 。 : 时序电路是由组合电路和 存储电路 两部分组成,并形成 反馈回0路 ,它是一种

数字电路试题五套(含答案)

《数字电子技术》试卷一 一、填空(每空1分,共25分) 1、(10110)2=()10=()16 (28)10=()2=()16 (56)10=()8421BCD 2、最基本的门电路是:、、。 3、有N个变量组成的最小项有个。 4、基本RS触发器的特征方程为_______,约束条件是__. 5、若存储器的容量是256×4RAM,该RAM有___存储单元,有字,字长 _____位,地址线根。 6、用N位移位寄存器构成的扭环形计数器的模是________. 7、若令JK触发器的J=K=T则构成的触发器为_______. 8、如图所示,Y=。 9、如图所示逻辑电路的输出Y=。 /10、已知Y=ABBCACD,则Y=,Y =。 11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的 输出不仅仅取决于此刻的_______;还与电路有关。

二、化简(每小题5分,共20分) 1、公式法化简 (1)Y=ABCABCBCBCA (2)YABCABC 2、用卡诺图法化简下列逻辑函数 (1)YBCDBCACDABD (2)(1,3,4,9,11,12,14,15)(5,6,7,13) Y md 三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波形 (10分)

四、用74LS161四位二进制计数器实现十进制计数器(15分) PQAQBQCQDC T74LS161LD CP ABCDCr C r QA、Q B、Q C、Q D:数据输出端; A、B、C、D:数据输入端; P、T:计数选通端; C:异步复位端; r CP:时钟控制输入端; L:同步并置数控制端; D C:位输出端; 五、某汽车驾驶员培训班结业考试,有三名评判员,其中A为主评判员,B、C为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分)

数电复习题含答案分解

数电复习题含答案分解 SANY标准化小组 #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

数 电 复 习 题 选择题: 1.下列四个数中,与十进制数(163)10不相等的是( D ) A 、(A3)16 B 、()2 C 、(0001)8421BCD D 、(203)8 2.N 个变量可以构成多少个最小项( C ) A 、N B 、2N C 、2N D 、2N -1 3.下列功能不是二极管的常用功能的是( C ) A 、检波 B 、开关 C 、放大 D 、整流 4..将十进制数10)18(转换成八进制数是 ( B ) A 、20 B 、22 C 、21 D 、23 5.译码器的输入地址线为4根,那么输出线为多少根( C ) A 、8 B 、12 C 、16 D 、20 6.能把正弦信号转换成矩形脉冲信号的电路是(D ) A 、多谐振荡器 B 、D/A 转换器 C 、JK 触发器 D 、施密特触发器 7.三变量函数()BC A C B A F +=,,的最小项表示中不含下列哪项 ( A ) A 、m2 B 、 m5 C 、m3 D 、 m7 8.用PROM 来实现组合逻辑电路,他的可编程阵列是( B ) A 、与阵列 B 、或阵列 C 、与阵列和或阵列都可以 D 、以上说法都不对 9.A/D 转换器中,转换速度最高的为(??A??? )转换 A 、并联比较型 B 、逐次逼近型 C 、双积分型 D 、计数型 10.关于PAL 器件与或阵列说法正确的是 ( A ) A 、 只有与阵列可编程 B 、 都是可编程的 C 、 只有或阵列可编程 D 、 都是不可编程的 11. 当三态门输出高阻状态时,输出电阻为 ( A ) A 、无穷大 B 、约100欧姆 C 、无穷小 D 、约10欧姆

相关主题
文本预览
相关文档 最新文档