当前位置:文档之家› 74hc573锁存器

74hc573锁存器

74hc573锁存器

74hc573锁存器

74hc573引脚图

74hc573真值表

OE:输出使能端( 低电平有效)

LE:所存允许端

初学者-编程_74HC164 移位寄存器

;*********************************** ;74hc164work ;*********************************** led_seg_in: movlf08h,led_rrf_cnt send_8bit: bcf pt1,1;74hc164cp上升沿动作 btfss led_data,0 goto sdab_set1 BSF PT1,0;将二进制数从PT1.0口输出 send_1bit_OK: rrf led_data,1 bsf pt1,1 decfsz led_rrf_cnt,1 goto send_8bit return sdab_set1: bcf pt1,0 GOTO send_1bit_OK ;;;////////////;;;从74HC164输出的数据直接点亮相应的数码管,从而达到移位显示的作用。

MOVFW RAM CALL disp;NO,则RAM-LED,送显 disp: movwf data movfw data CALL GETIN_DATA movwf led_data call led_seg_in CALL delay RETURN GETIN_DATA: ADDPCW RETLW00000011b;0 RETLW10011111b;1 RETLW00100101b;2 RETLW00001101b;3 RETLW10011001b;4 RETLW01001001b;5 RETLW01000001b;6 RETLW00011111b;7 RETLW00000001b;8 RETLW00001001b;9 RETLW11111111b;0FFH RETLW11111101b;- RETLW11110000b;K

最新74HC573单片机应用

74HC573 8位数据锁存器资料 2、如右图所示,芯片各引脚功能如下: OE:output_enable,输出使能; LE:latch_enable,数据锁存使能; Dn:第n路数据输入端; Qn:第n路数据输出端; 当OE=1是,无论Dn、LE 为何,输出端为高阻态; 当OE=0、LE=1时,输出 端数据等于输入端数据,芯片可以当作不存在,相当于导线; 当OE=0、LE=0时,输出端保持不变, 处于数据锁存状态; 在实际应用的时候是这样做的: a.令OE=0;LE=1 b.将数据从单片机的口线上输 出到Dn; c.令OE=0;LE=0; d.这时,你所需要输出的数据就锁存在Qn上了,输入的数据再变化也影响不到输出的数据了; 74HC573简单应用(一) 如下图所示,在P3口同时接了两个74HC573锁存器,两个芯片的输出使能端OE都接地,数据锁存使能端LE分别接P2^6和P2^7,锁存器的输出数据端Qn都接LED条形显示器,本例通过对P3口赋不同的值来使U4的上四个LED灯点亮,U5的下四个LED灯点亮。 C程序如下: #include

sbit LE1=P2^6; sbit LE2=P2^7; void main() { LE1=1; P3=0X0F; LE1=0; //开启锁存功 能,使U2输出端锁存数据 0X0F LE2=1; P3=0XF0; LE2=0; //开启锁存功能,使U3输出端锁存数据0XF0 while(1); } 74HC573简单应用(二) 两片74HC573的数据输入端同时接到P0口,输出使能端OE都接地,数据锁存端LE分别接到P2^6和P2^7,U2的数据输出端接六个数码管的段码,U3的数据输出端接六个数码管的位码。本例使六个数码管同时循环点亮0到9十个数字。 C程序如下: #include #define uchar unsigned c har #define uint unsigned int

74HC164级联实现四位数码管显示电路

中北大学
课 程 设 计 说 明 书
学生姓名: 学 专 题 院: 业:
XXXXXX
学 号:
1005xxxxx
信息与通信工程学院 电子信息科学与技术
目:74HC164级联实现四位数码管显示电路设计 程耀瑜 李文强 职称: 职称: 教授 讲师
指导教师:
2013

1

17


中北大学
课程设计任务书
2012/2013 学年第 一 学期
学 专
院: 业:
信息与通信工程学院 电子信息科学与技术 xxxxxxx 学 号: 100xxxxxxx
学 生 姓 名: 课程设计题目: 起 迄 日 期: 课程设计地点: 指 导 教 师: 系 主 任:
74HC164 级联实现四位数码管显示电路设计 1 月 4 日~1 月 15 日 中北大学 程耀瑜,李文强 程耀瑜
下达任务书日期:
2010 年 1 月 3 日
课 程 设 计 任 务 书
2

课 程 设 计 任 务 书
1.设计目的:
本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料 的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学 生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的 文字,清晰的图表来表达自己设计思想的能力。
2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等) :
(1)了解 74HC164 的工作原理,掌握其功能和引脚; (2)掌握 74HC164 级联电路的设计、仿真与调试; (3)掌握 74HC164 控制多只数码管电路的设计、仿真与调试; (4)掌握方案设计与论证;
3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、 实物样品等〕 :
(1)提供核心器件的工作原理与应用介绍; (2)提供用 Protel99 设计的电路原理图,也可给出印刷板电路图; (3)提供用 Multisim、MaxPluss、Proteus 等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书; (5)提供参考文献不少于三篇,且必须是相关的参考文献;
3

74HC573在单片机中的简单应用(基于Proteus仿真)

74HC573在单片机中的简单应用 基于Proteus仿真 1、74HC573是8位数据锁存器. 数据锁存的意思是指:当输入的数据消 失时,在芯片的输出端,数据仍然保持; 2、如右图所示,芯片各引脚功能如下: 右图隐藏了VCC(接+5V电源)和GND (接地)两个引脚。 OE:output_enable,输出使能; LE:latch_enable,数据锁存使能,latch是 锁存的意思; Dn:第n路数据输入端;(D的意思是 Data input) Qn:第n路数据输出端; 当OE=1是,无论Dn、LE为何,输出端 为高阻态; 当OE=0、LE=1时,输出端数据等于输 入端数据,芯片可以当作不存在,相当 于导线; 当OE=0、LE=0时,输出端保持不变, 处于数据锁存状态; 在实际应用的时候是这样做的: a.令OE=0;LE=1 b.将数据从单片机的口线上输出到Dn; c.令OE=0;LE=0; d.这时,你所需要输出的数据就锁存在Qn上了,输入的数据再变化也影响不到输出的数据了; 3、74HC573简单应用(一) 如下图所示,在P3口同时接了两个74HC573锁存器,两个芯片的输出使能端OE都接地,数据锁存使能端LE分别接P2^6和P2^7,锁存器的输出数据端Qn 都接LED条形显示器,本例通过对P3口赋不同的值来使U4的上四个LED灯点

亮,U5的下四个LED灯点亮。 C程序如下: #include sbit LE1=P2^6; sbit LE2=P2^7; void main() { LE1=1; P3=0X0F; LE1=0;//开启锁存功能,使U2输出端锁存数据0X0F LE2=1; P3=0XF0; LE2=0;//开启锁存功能,使U3输出端锁存数据0XF0 while(1); } 仿真运行结果如下

I0口驱动74LS164数码管静态显示程序

74LS164 1、器件功能作用 8 位串入,并出移位寄存器 2. 概述 74HC164、74HCT164 是高速硅门 CMOS 器件,与低功耗肖特基型 TTL (LSTTL) 器件的引脚兼容。74HC164、74HCT164 是 8 位边沿触发式移位寄存器,串行输入数据,然后并行输出。数据通过两个输入端(DSA 或 DSB)之一串行输入;任一输入端可以用作高电平使能端,控制另一输入端的数据输入。两个输入端或者连接在一起,或者把不用的输入端接高电平,一定不要悬空。 时钟 (CP) 每次由低变高时,数据右移一位,输入到 Q0, Q0 是两个数据输入端(DSA 和 DSB)的逻辑与,它将上升时钟沿之前保持一个建立时间的长度。 主复位 (MR) 输入端上的一个低电平将使其它所有输入端都无效,同时非同步地清除寄存器,强制所有的输出为低电平。 3. 特性 ?门控串行数据输入 ?异步中央复位 ?符合 JEDEC 标准 no. 7A ?静电放电 (ESD) 保护: ·HBM EIA/JESD22-A114-B 超过 2000 V ·MM EIA/JESD22-A115-A 超过 200 V 。 ?多种封装形式 ?额定从 -40 °C 至+85 °C 和 -40 °C 至+125 °C 。 4. 功能图

图 1. 逻辑符号 图 2. IEC 逻辑符号 图 3. 逻辑图

图 4. 功能图 5. 引脚信息 图 5. DIP14、SO14、SSOP14 和 TSSOP14 封装的引脚配置引脚说明 6. 功能表(真值表)

H = HIGH(高)电平 h = 先于低-至-高时钟跃变一个建立时间 (set-up time) 的 HIGH(高)电平L = LOW(低)电平 l = 先于低-至-高时钟跃变一个建立时间 (set-up time) 的 LOW(低)电平q = 小写字母代表先于低-至-高时钟跃变一个建立时间的参考输入(referenced input) 的状态 ↑ = 低-至-高时钟跃变 7. 电器特性

锁存器SN74HC使用总结

锁存器使用总结 本文要点 1:锁存器的主要作用 2:74HC573引脚图 3:74HC573电路连接及使用说明 锁存器辨析 所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。典型的锁存器逻辑电路是 D 触发器电路。 PS:锁存信号(即对LE赋高电平时Data端的输入信号)。锁存,就是把信号暂存以维持某种电平状态。 锁存器的最主要作用 1:缓存、 2:完成高速的控制其与慢速的外设的不同步问题、 3:是解决驱动的问题(提供的电流比51IO口输出电流大) 4:拓展I/O口(可以很猥琐的用锁存器幂叠加方法,即锁存器的Q再接锁存器~ 实现IO口的无限拓展···) 锁存器应用实例: I/O口复用:当单片机连接片外存储器时,要接上锁存器,这是为了实现地址的复用。假设,MCU 端口其中的8 路的I/O 管脚既要用于地址信号又要用于数据信号,这时就可以用锁存器先将地址锁存起来。(具体操作:先送地址信息,由ALE使能锁存器将地址信息锁存在外设的地址端,然后送数据信息和读写使能信号,在指定的地址进行读写操作) 如果单片机的总线接口只作一种用途,不需要接锁存器;如果单片机的总线接口要作两种用途,就要用到锁存器。例如:一个I/O口要控制两个LED,对第一个LED 送数据时,“打开”第一个锁存器而“锁住”第二个锁存器,使第二个LED 上的数据不变。对第二个LED 送数据时,“打开”第二个锁存器而“锁住”第一个锁存器,使第一个LED 上的数据不变。如果单片机的一个口要做三种用途,则可用三个锁存器,操作过程相似。就这一种用法而言,可以把锁存器视为单片机的I/O 口的扩展器。 74HC573引脚分布图

怎样用单片机驱动LED数码管显示

怎样用单片机驱动LED数码管显示 驱动LED数码管有很多方法,按显示方式分,有静态显示和动态(扫描)显示,按译码方式可分硬件译码和软件译码之分。 静态显示就是显示驱动电路具有输出锁存功能,单片机将所要显示的数据,显示数据稳定,占用很少的CPU时间。动态显示需要CPU时刻对显示器件进行数据刷新,显示数据有闪烁感,占用的CPU时间多。 这两种显示方式各有利弊;静态显示虽然数据稳定,占用很少的CPU 时间,但每个显示单元都需要单独的显示驱动电路,使用的硬件较多; 动态显示虽然有闪烁感,占用的CPU时间多,但使用的硬件少,能节 省线路板空间。 硬件译码就是显示的段码完全由硬件完成,CPU只要送出标准的BCD 码即可,硬件接线有一定标准。软件译码是用软件来完成硬件的功能,硬件简单,接线灵活,显示段码完全由软件来处理,是目前常用的显示驱动方式。 比较常用的显示驱动芯片有:74LS164 , CD4094+ULN2003(2803) ,74HC595+ULN2003(2803) , TPIC6B595,AMT9095B, AMT9595等许多。 另外,市场上还有一些专用的LED扫描驱动显示模块如MAX7219等,功能很强,价格稍高一些。下面是一个用74LS164驱动显示的例子和一个用4094扫描驱动显示的例子: ? 上例图中加了一个PNP型的三极管来控制数码管的电源,是因为164没有数据锁存端,数据在传送过程中,对输出端来说是透明的,这样,数据在传送过程中,数码管上有闪动现象,驱动的位数越多,闪动现象越明显。为了消除这种现象,在数据传送过程中,关闭三极管使数码管没电不显示,数据传送

74HC164应用实例:驱动数码管两例(电路图和源程序)

实例1 74HC164是串行输入,并行输出接口器件,可用在单向的并行输出/并行地址锁存等. 74HC164因为价格便宜,容易使用特别适合使用在在需要用到数码管显示IO口又比较紧张的电子产品中,下面浅谈使用方法: 1. 首先先了解他的引脚功能和逻辑图,如下图: 图1 引脚名称和用途

图2 真值表 通过真值表我们可以了解到,A,B两个输入端是互锁的,CLK上升沿时数据移入移位寄存器中,CLEAR为清零用的,接低电平时所有端口都输出低电平,了解了真值表之后开始运用了,先给出如下原理图: 图3 原理图

图中,采用义隆的EM78P153作为控制芯片,P50作为CLK时钟信号,注意平时数据不传输时,时钟信号是不发送的应一直保持低电平或者高电平,数据需要传输的时候才输出时钟信号^_^ ,继续P51作为移位数据输出端,接到74HC164的B端,A端接高电平,当然也可以AB端短路,然后连接到DATA移位数据端,P52作为数码管的选通信号(也可以叫消隐^_^), 作用是使数据传输过程暂时关闭显示,以免显示出不需要的数据,原因是应为164不带锁存功能,数据传输过程是一位一位的向高位移位输出的,所以要等数据全部移入后才打开始点亮数码管. 注意了哦,通过查看164的规格书发现,164输出高电平电流比输出低电平电流要小,亦称灌电流大,扇出电流弱,所以适合选用共阳数码管,如图,本人偷懒没有画出那个数码管的8字 该介绍的介绍的差不多了,废话少说,该开始干活了,任务是: 显示0-9 每秒+1 ,到9后又返回0,一直循环显示,根据任务得到如下流程图: 1. 显示部分: 将需要显示的数值送入A ==>查表求得显示段码==>将段码逐位移入164==>8位移完后点亮数码管==>延时==>返回第一步执行 2. 中断部分: 进入中断==>保存现场(以备调查取证,送你入狱^_^)==>重置TCC==>够1秒钟将需要显示的数据+1,并重置,不够就退出; 根据以上要求就开始写代码调试了,要注意一点,数据移位时一定要记得高位在前哦,否则显示错误别怪我没有说清楚,我当年实验时就因为这个数据移位方向反了排查了半天,甚至以为是时钟频率不对,又以为时许不对.....搞了半天,NND后来重看DATASHEET才发现,原来是低级错误啊,呜呼哀哉.......,希望你不要重蹈我覆辙,哎哟!! 谁! 谁! 谁扔砖头上来? 啥? 你扔的? 我废话太多.........,那俺少来两句,继续上菜, 咦好像没啥可说的了,上源程序吧 1.;中断部分: 2. 3.;;;;;;;;;;中断;;;;;;;; 4.INTPUT: 5.MOV TEMPA, A;

(完整版)74ls164动态驱动多位数码管

74ls164 能否动态驱动多位数码管void display() //数码显示 { SCON=0; //初始化串行口方式SBUF=dispcode[ge]; while(!TI); TI=0; led4=0; delay(2); led4=1; SBUF=dispcode[shi]; while(!TI); TI=0; led3=0; delay(2); led3=1; SBUF=dispcode[bai]; while(!TI); TI=0; led2=0; delay(2);

SBUF=dispcode[qian]; while(!TI); TI=0; led1=0; delay(2); led1=1; SBUF=dispcode[wan]; while(!TI); TI=0; led0=0; delay(2); led0=1; } 74ls164数码管驱动(第二个程序) #define clock PORTD.1 #define date PORTD.0 #define clock_en DDRD.1 #define date_en DDRD.0 unsigned char lab[2][10]={ 0x03,0x9F,0x25,0x0D,0x99,0x49,0x41,0x1F,0x01,0x09, 0x02,0x9E,0x24,0x0C,0x98,0x48,0x40,0x1E,0x00,0x08}; void send(unsigned char w) { unsigned char i; for(i=0;i<8;i++) { clock=0; date=w&1;

设计74hc164控制数码管显示系统

设计 74hc164 控制数码管显示系统 (00-99 显示)
一、总体设计 1、 系统组成 本系统功能由硬件和软件两大部分协调完成。 本系统的硬件采用模块化设计,以单片机控制为核心,74hc164 为驱动,与数码管 接口电路等组成单片机控制的数码管显示系统。该系统硬件主要包括主控模块、报警模块、 数码管显示模块等。其中单片机控制器主要完成外围硬件的控制以及一些运算按功能, 74hc164 完成串行输入,并行输出,数码管显示模块完成字符、数字的显示功能。 应用软件采用模块化设计方法。 该系统软件主要由主程序、 定时器 T0 中断服务子程序、 164 子程序等模块组成。 二、硬件设计 1、主控模块设计 本项目采用 AT89S52 单片机。 电源、时钟信号以及复位电路是单片机工作的基本条件,缺一不可。单片机系统的 基本工作电路电源电路、时钟电路、复位电路。 (1)电源电路模块设计 电源模块为系统板上的其他模块提供+5V 电源。系统板可从 USB 接口获取+5V 电源,即 用相应配套的 USB 线从电脑主机上获取+5V 直流电源。 (2)时钟电路模块设计 单片机的时钟信号用来位单片机芯片内部的各种操作提供时间基准。 时钟电路为单片机产生时钟脉冲序列, 作为单片机工作的时间基准, 典型的晶体振荡频 率为 12MHz。 由于 AT89S52 系列单片机芯片内有时钟振荡电路, 因此本项目中采用内部时钟方式, 只 要在单片机的 XTAL1 和 XTAL2 引脚外接石英晶体和微调电容, 就够成了自激振荡器并在单片 机内部产生时钟脉冲信号,具体电路如图 1-1。图中电容 C1 和 C2 的作用是稳定频率和快速 起振。 (3)复位电路模块设计 复位电路使用单片机或系统中的其他部件处于某中确定的状态。 当在 MC-51 系列单片机的 RST 引脚处引入高电平并保持 2 个机器周期,单片机内部就 执行复位操作。实际应用中,复位操作有两种基本形式:一种是上电复位,另一种是按键复 位。本项目中采用案件复位方式,具体电路设计如图 1-1。在单片机运行期间,可以用此案 件完成复位操作。 2、报警模块设计 本项目中选用蜂鸣器。 蜂鸣器的正极接+5V 的电源, 另一极接在三极管 8550 的集电极, 三极管 8550 主要用于信号的放大,以驱动数码管工作。三极管 8550 的基极通过限流电阻 接到单片机 P2 的 P2.0 如图 1-1,通过控制三极管 8550 的基极电平来打开或关闭蜂鸣器。三 极管 8550 的发射极接地。 3、数码管显示模块设计 本项目中选用 8 段共阳极数码管,数码管的 a、b、c、d、e、f、g、dp 段分别与 74hc164 的 QA、QB、QC、QD、QE、QF、QG、QH 相连,用来控制显示数字。数码管的公共使能端

74HC164的驱动和应用

74HC164的驱动和应 74HC164的几点说明: 1.74HC164是串行输入,并行输出的; 2.它的并行输出其实是有延时的,只是延时时间小,可以认为是并行输出; 74hc164封装和真值表: 真值表中文解释说明: H-高电平 L -低电平 X -任意电平↑-低到高电平跳变(上升沿有效) QA0,QB0,QH0 -规定的稳态条件建立前的电平 QAn,QGn -时钟最近的↑前的电平 看真值表的第三条和第五条:就可以知道,在保持clear为 H clock 为↑状态下,AB都为H时,移位寄存器移入H,而当移位寄存器移入 L时,必须令B为L,而A不受约束。所以可以把AB断相连再接入单 片机数据端口。还有一种是A脚直接接高电平,B脚连入单片机数据 端(P2_7) 电路仿真图:

R为clear端, C1/->为clock端,&为A端(1脚)和B端(二角),3~13脚分表为QA~QH, 源程序: /***74hc164是上升沿有效***/ #include #include #define uchar unsigned char #define uint unsigned int uchar ch; sbit DAT=P2^7;//A,B端接一块后接入DAT sbit CLK=P2^6; char code seg[16]={0x03,0x9f,0x25,0x0d,0x99,0x49,0x41,0x1f,0x01,0x09,0 xff}; /*0~9和全灭状态*/ /*数经过移位寄存器后低位数为高位数,高位数为低位数 如:0000 0011移位以后为1100 0000*/ /*延时一毫秒函数*/ void delay(uint ms)

74HC164 串入、并出8 位移位寄存器

8 位串入、并出移位寄存器 1. 概述 74HC164、74HCT164 是高速硅门 CMOS 器件,与低功耗肖特基型 TTL (LSTTL) 器件的引脚兼容。74HC164、74HCT164 是 8 位边沿触发式移位寄存器,串行输入数据,然后并行输出。数据通过两个输入端(DSA 或 DSB)之一串行输入;任一输入端可以用作高电平使能端,控制另一输入端的数据输入。两个输入端或者连接在一起,或者把不用的输入端接高电平,一定不要悬空。 时钟 (CP) 每次由低变高时,数据右移一位,输入到 Q0, Q0 是两个数据输入端(D SA 和 DSB)的逻辑与,它将上升时钟沿之前保持一个建立时间的长度。 主复位 (MR) 输入端上的一个低电平将使其它所有输入端都无效,同时非同步地清除寄存器,强制所有的输出为低电平。 2. 特性 ?门控串行数据输入 ?异步中央复位 ?符合JEDEC 标准no. 7A ?静电放电(ESD) 保护: ·HBM EIA/JESD22-A114-B 超过2000 V ·MM EIA/JESD22-A115-A 超过200 V 。 ?多种封装形式 ?额定从-40 °C 至+85 °C 和-40 °C 至+125 °C 。 3. 功能图 图 1. 逻辑符号

图 2. IEC 逻辑符号 图 3. 逻辑图 图 4. 功能图 4. 引脚信息

图 5. DIP14、SO14、SSOP14 和 TSSOP14 封装的引脚配置 引脚说明 74HC164中文资料(功能,真值表,引脚图及电气参数介绍) SN54HC164,/SN74HC164是8位移位寄存器,当其中一个(或二个)选通串行输入端的低电平禁止进入新数据,并把第一个触发器在下一个时钟脉冲来后复位到低电平时,门控串行输入端(A 和B)可完全控制输入数据。一个高电平输入后就使另一个输入端赋能,这个输入就决定了第一个触发器的状态。虽然不管时钟处于高电平或低电平时,串行输入端的数据都可以被改变,但只有满足建立条件的信息才能被输入。时钟控制发生在时钟输入由低电平到高电平的跃变上。为了减小传输线效应,所有输入端均采用二极管钳位。 https://www.doczj.com/doc/64791638.html,/info/cmos/0083928.html H=高电平(稳定态)L=低电平(稳定态)×=不定↑=从低电平转换到高电平 QA0…QH0=在稳定态输入条件建立前QA…QH 的相应电平 QAn…QHn=在最近的时钟输入条件(↑)建立前QA…QH 的相应电平,表示移位一位

基于51单片机的74HC164驱动六位数码管显示程序与仿真

基于51单片机的74HC164驱动六位数码管显示程序与仿真 #include #include #define uchar unsigned char #define uint unsigned int //74HC164 sbit CLK=P1^0; // 符号CP 引脚8 时钟输入(低电平到高电平边沿触发) sbit MOSI=P1^1; // 符号DSA 引脚1 数据输入符号DSB 引脚2 数据输入 unsigned char code Tab[]={0x3F,0x06,0x5B,0x4F,0x66,0x6D,0x7D,0x07, 0x7F,0x6F,0x77,0x7C,0x39,0x5E,0x79,0x71};//共阴数码管unsigned char DS_data[6]; /***************************************************** 函数功能:数码管扫描延时子程序 函数功能:延时1ms (3j+2)*i=(3×33+2)×10=1010(微秒),可以认为是1毫秒 ***************************************************/ void delay1ms() { unsigned char i,j; for(i=0;i<10;i++) for(j=0;j<33;j++) ; } void separateData(unsigned long dat) // dat为六位数 { DS_data[0]=dat%10; DS_data[1]=dat/10%10; DS_data[2]=dat/100%10;

74hc164级联实现四位数码管显示电路

74hc164级联实现四位数码管显示电路 课程设计说明书 学生姓名: XXXXXX 学号: 1005xxxxx 学院: 信息与通信工程学院专业: 电子信息科学与技术题目:74HC164级联实现四位数码管显示电路设计 指导教师: 程耀瑜职称: 教授 李文强职称: 讲师 2013 年 1 月 17 日 中北大学 课程设计任务书 2012/2013 学年第一学期 学院: 信息与通信工程学院专业: 电子信息科学与技术学生姓名: xxxxxxx 学号: 100xxxxxxx 课程设计题目: 74HC164级联实现四位数码管显示电路设计起迄日期: 1月4日,1月 15日课程设计地点: 中北大学指导教师: 程耀瑜,李文强系主任: 程耀瑜下达任务书日期: 2010 年 1 月 3 日 2 课程设计任务书 课程设计任务书 1(设计目的: 本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。 2(设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (1)了解74HC164的工作原理,掌握其功能和引脚; (2)掌握74HC164级联电路的设计、仿真与调试; (3)掌握74HC164控制多只数码管电路的设计、仿真与调试; (4)掌握方案设计与论证; 3(设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、

实物样品等〕: (1)提供核心器件的工作原理与应用介绍; (2)提供用Protel99设计的电路原理图,也可给出印刷板电路图; (3)提供用Multisim、MaxPluss、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书; (5)提供参考文献不少于三篇,且必须是相关的参考文献; 3 课程设计任务书 4(主要参考文献: (1) 阎石.数字电子技术基础.北京:高等教育出版社,1998; (2) 王远.模拟电子技术.北京:机械工业出版社,2001; (3) 陈汝全.电子技术常用器件应用手册.北京:机械工业出版社,2003; (4) 毕满清.电子技术实验与课程设计.北京:机械工业出版社,2006; 5(设计成果形式及要求: (1)电路原理图,仿真结果; (2)课程设计说明书; 6(工作计划及进度: 2010年 12 月26日,12 月28日:分析课程设计任务书,查找资料,初步确定方案; 2010年 12 月29 日,12 月30日:论证、确定方案; 12月 31日,2011年1 月4 日:电路设计、计算、仿真,并完善设计与方案; 2011年1 月 5 日,1 月6 日:整理资料,书写课程设计说明书; 1月 7 日: 答辩,提交课程设计说明书。 系主任审查意见: 签字: 4 年月日 一、设计目的 (6) 二、设计任务 (6) 三、设计条件 (6) 四、设计内容和要求 (6) 1、74CH164的逻辑功能、逻辑图、引脚说明、波形图 (7)

51单片机与74HC164静态显示接口

51单片机与74HC164静态显示接口 在单片机应用系统中,显示器显示常用两种方法:静态显示和动态扫描显示。所谓静态显示,就是每一个显示器都要占用单独的具有锁存功能的I/O接 口用于笔划段字形代码。这样单片机只要把要显示的字形代码发送到接口电路,就不用管它了,直到要显示新的数据时,再发送新的字形码,因此,使用这种 方法单片机中CPU的开销小。可以提供单独锁存的I/O接口电路很多,这里以常用的串并转换电路74LS164为例,介绍一种常用静态显示电路,以使大家对静态显示有一定的了解。MCS-51单片机串行口方式0为移位寄存器方式,外 接6片74LS164作为6位LED显示器的静态显示接口,把8031的RXD作为数据输出线,TXD作为移位时钟脉冲。74LS164为TTL单向8位移位寄存器,可实现串行输入,并行输出。其中A、B(第1、2脚)为串行数据输入端,2 个引脚按逻辑与运算规律输入信号,共一个输入信号时可并接。T(第8脚) 为时钟输入端,可连接到串行口的TXD端。每一个时钟信号的上升沿加到T 端时,移位寄存器移一位,8个时钟脉冲过后,8位二进制数全部移入74LS164 中。R(第9脚)为复位端,当R=0时,移位寄存器各位复0,只有当R=1时,时钟脉冲才起作用。Q1Q8(第3-6和10-13引脚)并行输出端分别接LED显 示器的hg---a各段对应的引脚上。在给出了8个脉冲后,最先进入74LS164的第一个数据到达了最高位,然后再来一个脉冲会有什么发生呢?再来一个脉冲,第一个脉冲就会从最高位移出,搞清了这一点,下面让我们来看电路,6片 7LS164首尾相串,而时钟端则接在一起,这样,当输入8个脉冲时,从单片机RXD端输出的数据就进入到了第一片74LS164中了,而当第二个8个脉冲到 来后,这个数据就进入了第二片74LS164,而新的数据则进入了第一片 74LS164,这样,当第六个8个脉冲完成后,首次送出的数据被送到了最左面

74hc573芯片资料

74HC573和74LS373原理一样,8数据锁存器。主要用于数码管、按键等等的控制 1. 真值表 参见74LS373的PDF的第2页: Dn LE OE On H H L H L H L L X L L Qo X X H Z 这个就是真值表,表示这个芯片在输入和其它的情况下的输出情况。每个芯片的数据手册(datasheet)中都有真值表。 布尔逻辑比较简单,在此不赘述; 2. 高阻态 就是输出既不是高电平,也不是低电平,而是高阻抗的状态;在这种状态下,可以 多个芯片并联输出;但是,这些芯片中只能有一个处于非高阻态状态,否则会将芯 片烧毁; 高阻态的概念在RS232和RS422通讯中还可以用到。 3. 数据锁存 当输入的数据消失时,在芯片的输出端,数据仍然保持; 这个概念在并行数据扩展中经常使用到。 4. 数据缓冲 加强驱动能力。74LS244/74LS245/74LS373/74LS573都具备数据缓冲的能力。 OE:output_enable,输出使能; LE:latch_enable,数据锁存使能,latch是锁存的意思; Dn:第n路输入数据; On:第n路输出数据;

再看这个真值表,意思如下: 第四行:当OE=1是,无论Dn、LE为何,输出端为高阻态; 第三行:当OE=0、LE=0时,输出端保持不变; 第二行第一行:当OE=0、LE=1时,输出端数据等于输入端数据; 结合下面的波形图,在实际应用的时候是这样做的: a.OE=0; b.先将数据从单片机的口线上输出到Dn; c.再将LE从0->1->0 d.这时,你所需要输出的数据就锁存在On上了,输入的数据在变化也影响不到输 出的数据了;实际上,单片机现在在忙着干别的事情,串行通信、扫描键盘……单 片机的资源有限啊。 在单片机按照RAM方式进行并行数据的扩展时,使用movx @dptr, A这条指令时,这 些时序是由单片机来实现的。 后面的表格中还有需要时间的参数,你不需要去管它,因为这些参数都是几十ns 级 别的,对于单片机在12M下的每个指令周期最小是1us的情况下,完全可以实现;如 果是你自己来实现这个逻辑,类似的指令如下: mov P0,A ;将数据输出到并行数据端口 clr LE setb LE clr LE ;上面三条指令完成LE的波形从0->1->0的变化 74ls573跟74LS373逻辑上完全一样,只不过是管脚定义不一样,数据输入和输出端

矩阵键盘状态机之74HC164驱动数码管依次显示键值

用视图Web模式看 uchar code smg_duan[]= //数码管(共阴)编码0-F,全灭; 按键对应的数字不是上图,而是-------------------------这里下面的 {

//用IO口P0,所以把A B C D E F G DP分别接到P0^0 P0^1 P0^2 P0^3 P0^4 P0^5 P0^6 PO^7 所以编码如下---------- --------------|-1--|-2--|-3--|-------------------- ---------------|-4--|-5--|-6--|----------------------- 0X3f,0X06,0X5B,0X4f,0X66,0X6D,0X7D,0x07,0x7f,0x6F,0x77,0x7C,0x39,0x5E,0x79,0x7 1,0X00 ---------------|-7--|-8--|-9--|----------------- //可以把0x71或任意一个改为0x00,这样就可以按下0x71这个案件时清楚显示了---------------|-C--|-0--|-E--|--------------------- /* 0xfC,0x0C,0xDA,0xF2, 0x66,0xB6,0xBE,0xE0, 0xFE,0xF6,0xEE,0x3E, 0x9C,0x7A,0x9E,0x8E, 0x00 //多写了0x00,代表段选全部熄灭*/ }; 0X3f,0X06,0X5B,0X4f,0X66,0X6D,0X7D,0x07,0x7f,0x6F,0x77,0x7C,0x39,0x5E,0x79,0x7 1,0X00 //可以把0x71或任意一个改为0x00,这样就可以按下0x71这个案件时清楚显示了 完整程序如下: /*========================================================== * 开发人员:laowang * 当前版本:V1.0 * 创建时间:11/26/2013 * 修改时间:04/21/2017 * 功能说明:对4*3矩阵键盘扫描,用4位共阴数码管进行显示,刚开始时不亮,依次按下按键时 数码管依次显示0-F,扫描方法为状态机方法+定时器中断 * 修改人员:梁超云 *==========================================================*/ #include

数码管驱动方式选择

数码管的几种驱动方式汇总 这段时间做数码管的电路,所以就专门整理了一下数码管的驱动IC和相关问题,集中发在这里便于学习。 数码管的显示方式可以分为动态和静态的。 动态的也叫扫描方式,是利用发光二极管的余辉效应和人眼的视觉暂留效应来实现的,只要在在一定时间内数码管的笔段亮的频率够快,人眼就看不出闪烁,一般外围硬件较少,但是对单片机资源耗用巨大。 静态的也较锁存方式,单片机送出数据后控制外围锁存器件锁存数据,这样数码管笔段里的电流不变,数码管稳定显示,这样单片机可以干别的活不用管数码管了。这种方案的优点是对单片机的P口资源和时间耗用很少,但是数码管的外围辅助电路复杂。 前些日子又发现了一种新的驱动方式,使用专门的驱动IC,单片机发送完数据就控制锁存,由芯片完成数码管动态扫描显示,一般使用串行接口,占用单片机资源最少,而且数码管还能实现左右循环移动等效果,显示稳定,消隐效果比较好。 下面分别结合这些芯片归纳一下数码管的驱动方案。 1、不需要芯片的驱动方式,扫描显示 这种方式a~g和DP一共8根线分别占用单片机8个端口线,一般是一整个P口,然后有几位数码管就另外需要几个控制线作为片选。对于MCU的时间占用几乎是全时的,如果没有其他的任务或者其他的任务耗用时间很少可以考虑这种显示方式,比如时钟、温度计等等。 2、宝刀未老74LS164 这是一片带锁存的串入并出芯片,需要占用单片机的2或3根线,MR为输出状态清除,本

身驱动电流不大,驱动LED需要另外加三极管或者驱动芯片。 如果需要多位驱动,一般使用74HC138这样的译码器进行快速线选,一样实现扫描显示,对单片机端口的耗用比较少,但是因为是扫描方式所以对单片机时间耗用还是全时的。 3、串行驱动MAX7219 按说这是驱动LED数码管最理想的一个芯片了,从典型应用电路上看外围元件极少,直接驱动,最吸引人的是使用了串行接口,只要三根线就可以驱动多大8位的数码管,而且可以送数据后就不用管了,自己消零消隐,可惜的就是太贵了,市场价都在20多RMB以上,比起LS164的一块多钱显得不合算。 另外有用过整个IC朋友说,如果有的地方考虑的不周全,很容易出现显示崩溃问题,必须重新上电才可以解决,我没有用过没有发言权,只是提个醒儿,呵呵。 PDF下载地址:https://www.doczj.com/doc/64791638.html,/getds.cfm/qv_pk/1339/ln/cn 4、串行驱动HD7279、BC7281、ZLG7289、ZLG7290、WH8280

74hc573完整中文资料

74hc573中文资料参数-74hc573引脚图-功能原理 -74hC573的作用-应用电路-74hC563-54hC57 高性能硅门CMOS器件 SL74HC573跟LS/AL573的管脚一样。器件的输入是和标准CMOS输出兼容的;加上拉电阻,他们能和LS/ALSTTL输出兼容。 当锁存使能端为高时,这些器件的锁存对于数据是透明的(也就是说输出同步)。当锁存使能变低时,符合建立时间和保持时间的数据会被锁存。 ×输出能直接接到CMOS,NMOS和TTL接口上 ×操作电压范围:2.0V~6.0V ×低输入电流:1.0uA ×CMOS器件的高噪声抵抗特性 ·三态总线驱动输出 ·置数全并行存取 ·缓冲控制输入 ·使能输入有改善抗扰度的滞后作用 原理说明: M54HC563/74HC563/M54HC573/74HC573的八个锁存器都是透明的D 型锁存器,当使能(G)为高时,Q 输出 将随数据(D)输入而变。当使能为低时,输出将锁存在已建立的数据电平上。输出控制不影响锁存器的内部工作,即老数据可以保持,甚至当输出被关闭时,新的数据也可以置入。这种电路可以驱动大电容或低阻抗负载,可以直接与系统总线接口并驱动总线,而不需要外接口。特别适用于缓冲寄存器,I/O 通道,双向总线驱动器和工作寄存器。 HC563引脚功能表: PIN No 引脚号SYMBOL符 号 NAME AND FUNCTION名称及功能

1OE 3 State output Enable Input (Active LOW)3态输出使能输入 (低电平) 2, 3, 4, 5, 6, 7, 8, 9D0 to D7Data Inputs数据输入 12,13,14,15,16,17,18,19Q0 to Q7 3 State Latch Outputs 3态锁存输出11LE Latch Enable Input 锁存使能输入 10GND Ground接地(0V) 20VCC Positive Supply Voltage电源电压HC573引脚功能表: PIN No 引脚号SYMBOL符号NAME AND FUNCTION名称及功能 1OE 3 State output Enable Input (Active LOW)3态输出使 能输入(低电平) 2, 3, 4, 5, 6, 7, 8, 9D0 to D7Data Inputs数据输入 12,13,14,15,16,17,18,19Q0 to Q7 3 State Latch Outputs 3态锁存输出11LE Latch Enable Input 锁存使能输入 10GND Ground接地(0V) 20VCC Positive Supply Voltage电源电压

相关主题
文本预览
相关文档 最新文档