当前位置:文档之家› 数电交通灯控制器电路设计

数电交通灯控制器电路设计

数电交通灯控制器电路设计
数电交通灯控制器电路设计

湖南工程学院

课程设计

课程名称数字电子技术

课题名称交通灯控制器

专业

班级

学号

姓名

指导教师

2014 年11 月5 日

目录

设计总体 (1)

设计要求 (1)

设计思路 (1)

设计框图 (2)

单元电路设计 (2)

同步十进制计数器 (2)

译码器 (3)

十进制加/减计数器 (6)

秒脉冲产生器 (9)

其他元件 (10)

总电路 (11)

安装及调试步骤 (11)

故障分析及电路改进 (12)

附录(元器件清单) (13)

参考文献 (14)

总结与体会 (15)

评分表 (16)

湖南工程学院

课程设计任务书

课程名称数字电子技术

课题交通灯控制器

专业班级

学生姓名

学号

指导老师

审批

任务书下达日期2014 年10 月27 日任务完成日期2014 年11 月9 日

目录

整体设计 (7)

设计要求 (7)

交通灯控制器工作流程图 (7)

总体设计思路 (8)

总体设计框图 (8)

单元电路设计 (9)

译码器 (9)

同步十进制计数器 (11)

十进制加/减计数器 (13)

秒脉冲产生器 (15)

其他元件 (16)

总电路设计图 (17)

安装及调试步骤 (17)

故障分析及电路改进 (18)

附录 (19)

参考文献 (19)

总结与体会 (20)

评分表 (22)

一、整体设计

1.1设计要求:

设计一个十字路口的交通信号控制器,

采用两位数码显示器显示南北方向时间;

采用两位数码显示器显示东西方向时间;

要求甲车道和乙车道两交叉以上的车辆交替运行每次通行时间都设为30s;

要求黄灯先亮5s,才能变换车道;

黄灯亮时,要求每秒闪亮一次;

时间用数字显示;

1.2、交通灯控制器工作流程图:

1.3、总体设计思路:

利用我们所学的知识,确定基本的设计思路。电路主要由控制器、定时器、译码器和脉冲发生信号器等部分组成。秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

首先通过74ls160来显示每一步的数字,当为第一步时显为1,当第二步时显示为2,当到4时下一步又回到1以后依此循环。同时通过它与译码器74ls138来控制六个灯的状态和后面的两个74ls192倒计时器来分别显示甲乙两车道的黄绿红灯的闪烁时间。

1.4、总体设计框架:

二、单元电路设计

2.1、译码器

二进制译码器的输入是一组二进制代码,输出是一组与输入代码一一对应的高,低电平信号。由于需要反馈信号,需要2进制进行转换,由此用到3-8二进制译码器74138.其引脚图如下:

真值表如下

从功能表中我们都可以看到74LS138的八个输出引脚,任何时刻要么全为高电平1—芯片处于不工作状态,要么只有一个为低电平0,其余7个输出引脚全为高电平1。由该译码器来控制甲乙道的红绿黄灯的状态和74ls192的置数。如果出现两个输出引脚同时为0的情况,说明该芯片已经损坏。

通过74ls138译码器来控制东西,南北方向的六个显示灯

由真值表可知各信号灯的逻辑函数表达式为:

G=Y3’

Y=Y0’(1HZ)

R=(Y1Y2)’

g= Y1’

y= Y2’(1HZ)

r= (Y0Y3)’

据上述逻辑函数表达式经化简根后可画出交通灯信号控制2.2、同步十进制计数器

(74ls160引脚图)

74LS760的功能表如下

因为74ls160控制的是从0开始到3是一个循环因此要将其将输出的Q1,Q2通过与与非非门接到置数端的引脚来控制循环

2.3、十进制加/减计数器:

74ls192的功能表:

它的主要功能为:

①CPU为加计数时钟输入端,CPD为减计数时钟输入端。

②LD为预置输入控制端,异步预置。

③CR为复位输入端,高电平有效,异步清除。

④CO为进位输出:1001状态后负脉冲输出,

⑤BO为借位输出:0000状态后负脉冲输出。

74ls192的引脚图如下:

通过74ls192来控制倒计时的时间

逻辑电路的真值表如下所示:

显示的时间应该是南北方向29-0、4-0东西方向4-0、29-0 2.4、秒脉冲产生器:

2.5、其他元件:

1、74ls04六非门的引脚图:

真值表如下:

2、74l00两输入与非门的引脚图

真值表如下:

2.6总电路设计图:

三、安装、调试步骤

1、首先要确定仿真图的结果是否符合课程设计要求,仿

真图要尽可能的清晰,特别是线多的地方注意不要重叠,所

以要仔细看清每条线的走向。并确定仿真图中的元器件是否

在学校实验中心可以找到。若不能则要重新修改电路图。

2、按照仿真图,到实验中心领取所需的元器件,注意,领

器件时记得多领一两个备用,

3、测验设备,领到器件和实验箱后,首先检查实验箱的各个部件是否完好,特别是显示管,因为显示管特别容易烧坏,再测验一下芯片插槽是否连结完好,第三,测线,用万能表或者显示灯测验每一根导线连接是否良好,第四,测验芯片,按照各芯片的功能测试芯片是否能正常工作,不能工作的要及时向老师调换。

4、排版、接线,首先,根据仿真图上各器件的位置,排好所要用的芯片,注意尽可能的排得合理,稀松,因为我们所用的芯片插板先对比较小的,且电路比较复杂。第二,接线时应该一个一个模块接,接好后依次检查,切忌接完所有电路时在检查,那样一旦接错检查就非常困难。

5、调试,当接完所有的线后,打开电源,调试、验证电路是否符合要求。

6、验收,当电路完全符合要求且正确时,可让指导老师验收并考虑做拓展功能。

四、电路故障分析及电路改进

故障一:在实际接线中,发现74LS160怎么都不能工作,无论脉冲怎么来,都没有反应

结果:是计数器74ls160中的控制端ENT、ENP没有接到高电平,导致计数器不能计数

故障二:在连接好电路图后发现,由74ls160控制的显示器的循环不是从0到 3 ,但是接好后却是0到2

结果:是计数器的接线错误,本应该是Y1 Y2接与非到置

数端,但是却接到了清零端

故障三:在做仿真时我们需要的六个交通灯当南北方向是绿灯时东西方向是红灯,当南北方向是黄灯时东西方向任然是红灯但是实际上六个灯的显示状态出现了混乱。

分析:可能是接线的时候把译码器的引脚接错了,或是中间接控制六个灯的线路接错了。

五、附录(元器件清单)

实验箱(1个)

同步十进制加减法计数器74192(2)

同步十进制加法计数器74160(1个)

3线8线译码器74138(1)

非门74lSL04(2个)

与非门74SL00(2个)

或门74SL32(1)

与门74SL10(2)

共阴极显示管(2)

指示灯(6)

导线若干

六、参考文献

《技术基础实验与仿真》孙胜麟郭照南主编

《数字电子技术实验》李毅谢松云主编

《数字电子技术基础》阎石主编

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

数字电路课程设计交通灯

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级: 2011级<1>班 姓名:陈 学号: 201103061 成绩: 指导老师:李海霞 开课时间: 2012-2013 学年二学期

一、设计题目 交通信号灯控制器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (一)、交通灯信号控制器仿真设计 设计要求 (1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为35s。时间可 设置修改。 (2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 (3)黄灯亮时,要求每秒闪亮一次。 (4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 (5)假定+5V电源给定。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写

五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

交通灯控制电路设计

交通灯控制电路设计 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的自动指挥对城市交通管理现代化有着重要的意义。 一、设计目的 1.掌握交通灯控制电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计任务与要求 1.用红、绿、黄三色发光二极管作信号灯。 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红灯。 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计30s和20s 计时显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外,设置5s计时显示电路。 三、交通灯控制电路基本原理及电路设计 实现上述任务的控制器整体结构如图4-2-4 主干道信号灯支干道信号灯 译码驱动电路 主控制器时传钟感信器号 计时器 图4-2-4交通灯控制器结构图

1(主控制器 主控电路是本课题的核心,它的输入信号来自车辆的检测信号和30s、20s、5s 三个 定时信号,它的输出一方面经译码后分别控制主干道和支干道的三个信号灯,另一方面控制 定时电路启动。主控电路属于时序逻辑电路,可采用状态机的方法进行设计。 主控电路的输入信号有: 主干道有车A,1,无车A,0; 支干道有车B,1,无车B,0; 主干道有车过30s为L,1,未过30s为L=0; 支干道有车过20s为S,1,未过20s为S,0; 黄灯亮过5s为P,1,未过5s为P,0。 主干道和支干道各自的三种灯(红、黄、绿),正常工作时,只有4种可能,即4种状态: 主绿灯和支红等亮,主干道通行,启动30s定时器,状态为S; 0 主黄灯和支红灯亮,主干道停车,启动5s定时器,状态为S; 1 主红灯和支绿灯亮,支干道通行,启动20s定时器,状态为S; 2 主红灯和支黄灯亮,支干道停车,启动5s定时器,状态为S。 3 四种状态的转换关系如图4-2-5: 支道无车或主道有车未过30s 主绿灯主道有车支道有车支红灯或主支道均有车已亮过30s过5s S0未未主红灯主黄灯过过支黄灯支红灯S1S35s5s亮亮 S2 过5s支道无车或主主红灯道有车已过20s支绿灯亮

交通灯控制电路数电课程设计数字电路课程设计

——交通灯控制电路 目录 第一章:序言 (2) 第二章:设计任务书 (2) 第三章:电路组成和工作原理 (4)

第四章:设计步骤及方法 (7) 第五章:总结 (10) 第七章:参考文献 (10) 第一章序言 随着社会的飞速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,频繁发生交通问题,为了保证交通秩序和行人安全,一般在每条街上都有一组红、黄、绿交通信号灯。其中红灯亮,表示道路禁止通行;黄灯亮表示该道路上未过停车线的车辆禁止通行,已经过停车线的的车辆继续通行;绿灯亮表示道路允许通行。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。

第二章设计任务书 一、设计题目: 二、技术内容及要求: 三、给定条件及器件 四、设计内容 1.电路各部分的组成和工作原理。 2.元器件的选取及其电路逻辑图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问题,其原因及解决

的办法。 第三章电路组成和工作原理设系统工作的十字路口由主、支两条干道构成,4路口均设红、黄、绿三色信号灯和用于计时的2位由数码管显示的十进制计数器,其示意图如图5—1所示。 图1 十字路口交通信号灯控制示意图 根据交通规则,交通信号灯自动定时控制器所需实现的功能如下: (1)主、支干道交替通行,通行时间均可在0 ~ 99 s内任意设定。 (2)每次绿灯换红灯前,黄灯先亮较短时间(也可在0 ~ 99 s内任意设定),用以等待十字路口内滞留车辆通过。 (3)主支干道通行时间和黄灯亮的时间均可由同一计数器按减计数方式计数(零状态瞬间进行状态的转换,视为无效态)。 (4)在减计数器回零瞬间完成十字路口通行状态的转换(换灯)。

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

交通灯控制电路

交通灯控制电路 交通灯的课程设计 [要点提示] 一、实验目的 二、实验预习要求 三、实验原理 四、实验仪器设备 五、练习内容及方法 六、实验报告 七、思考题 [内容简介] 一、设计任务与要求 1(设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2(要求黄灯先亮5秒,才能变换运行车道; 3(黄灯亮时,要求每秒钟闪亮一次。 二、实验预习要求 1(复习数字系统设计基础。 2(复习多路数据选择器、二进制同步计数器的工作原理。 3(根据交通灯控制系统框图,画出完整的电路图。 三、设计原理与参考电路 1(分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图12、1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。 2(画出交通灯控制器的ASM图12、1 交通灯控制系统的原理框图(Algorithmic State Machine,算法状 态机)

(1)图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道 禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔TY 时,控制器发出状态转换信号ST,转到下一工作状态。 (3)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上的车辆允 许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表12、1所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定: 表12、1 控制器工作状态及功能 控制状态信号灯状态车道运行状态 S0(00) 甲绿,乙红甲车道通行,乙车道禁止通行 S1(01) 甲黄,乙红甲车道缓行,乙车道禁止通行 S3(11) 甲红,乙绿甲车道禁止通行,甲车道通行 S2(10) 甲红,乙黄甲车道禁止通行,甲车道缓行 AG=1:甲车道绿灯亮;

数电课设交通灯

太原理工大学

课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 指导教师签名:日期:

专业班级 学号 姓名 成绩 一、 简述 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R )亮表示该条道路禁止通行;黄灯(Y )亮表示停车;绿灯(G )亮表示允许通行。 交通灯控制器的系统框图如图3.1所示。 图3.1 交通灯控制器系统框图 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 二、设计目的 通过本次课题设计,应该掌握以下内容 (1)学习数字逻辑电路设计的一般方法。 (2)要求学会用理论知识解决实际问题。 (3)灵活掌握部分74LS 系列集成电路的使用。 (4)掌握Multisim 仿真软件的应用。 (5)掌握常用元器件的识别与测量。 (6)了解实际电路调试和解决问题的基本方法。 三、总体方案设计 用定时器分别产生三个时间间隔后,向控制器发出“时间已到”的信号,控制器 ……………………………………装………………………………………订…………………………………………

根据定时器的信号,决定是否进行状态转换。如果肯定,则控制器发出状态转换信号ST,定时器开始清零,准备重新计时。 交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2、S3表示。 S0状态:主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,主干道禁止车辆通行。当主干道绿灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态。 S1状态:主干道黄灯亮,主干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S2状态:主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S3状态:支干道红灯亮,支干道黄灯亮。此时主干道禁止车辆通行,支干道允许超过停车线的车辆通行,而未超过停车线的车辆禁止通行。当支干道红灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态------S0状态。 交 灯 状 态 转

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

交通灯管理电路设计

第1章概述 随着我国社会经济的发展,城市化、城镇化进程的加快,道路交通堵塞问题日趋严重,如何对交通进行合理的管理和调度而尽可能减少堵车现象成为目前我国很多地方尤其是特大城市急需解决的问题,显然交通灯在其中起着不可缺少的作用。 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红、蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两种旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年开始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。 我国机动车辆发展迅速,而城镇道路建设由于历史等各种原因相对滞后。道路拥挤、阻塞现象及交通事故常有发生。如何利用当今自动控制技术,有效地疏导交通,提高城镇交通路口的通行能力,提高车辆速度,减少交通事故是值得我

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

数电交通灯课程设计报告

电子技术课程 设计报告 二 级 学 院 机械与电子工程学院 专 业 电气工程及其自动化 年 级 2014级 学 号 14106***** 学 生 姓 名 苏 运 指 导 教 师 杨** 完 成 时 间 2015 年12 月25 日 简易交通灯的设计

简易交通灯的设计 作者:苏运 指导老师:杨** 摘要:利用数码管,74LS48译码器、74LS192计数器、ne555、与非门、或非门、触发器、蜂鸣器和小彩灯等电子元件,制作简易交通灯,实现15秒倒计时开始后,到达5秒时红灯熄灭,黄灯闪烁同时蜂鸣器响,到达0秒时切换到另外两个方向的红绿灯亮的功能。经测试,系统达到红绿灯转换和显示的基本要求,具有电路美观稳定性高的优点。 关键词:数电交通灯;交通灯;简易交通灯;计数器

目录 1 设计要求及方案选择 (1) 1.1设计要求 (1) 1.2方案选择 (1) 2 理论分析与设计 (1) 2.1脉冲发生器电路的分析及设计 (1) 2.2定时器电路的分析及设计 (2) 2.3交通灯和蜂鸣器电路的分析及设计 (3) 2.4控制器电路的分析及设计 (4) 2.5数码显示电路的分析及设计 (4) 3电路设计 (5) 3.1脉冲发生器电路的设计 (5) 3.2定时器电路的设计 (6) 3.3交通灯和蜂鸣器电路的设计 (6) 3.4控制电路的设计 (7) 3.5码显示电路的设计 (9) 3.6电源开关和指示灯电路的设计 (9) 4系统测试实验 (10) 4.1调试所用的基本仪器清单 (10) 4.2软件仿真调试 (10) 4.3实物电路板的调试 (10) 4.4时钟脉冲信号的测定 (10) 4.5调试结果 (11) 4.6测试结果分析 (11) 5 总结 (12) 附录 (13) 附录A 仿真图 (13) 附录B 原理图 (13) 附录C PCB图 (14) 附录D 实物图 (14) 附录E 脉冲信号测试图 (15) 附录F 元件清单 (15) 参考文献 (16)

交通灯控制逻辑电路设计

交通灯控制逻辑电路设计 一、简述 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框.图如图4.1 所示。

二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;东西方向的红、黄、绿灯 分别为EWR,EWY,EWG,则满足图4.1 的工作 流程并且可以并行工作: NSG(EWR)→ NSR(EWG),黄灯用 于闪烁提示绿灯变为红灯。 2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方 向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方 向黄、绿灯亮的时间之和。时序工作流程见图4.2所示:

图4.3中,假设每个单位时间为2秒,则南北、东西方向的绿、黄、红灯亮的时间分别为12秒、2秒、12秒,一次循环为24秒。 其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地 把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个 数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十 字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工 作循环。 例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为 12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄 灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0” 时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯 亮,并置东西方向的数码管的显示为12。 4.可以手动调整脉冲时间,夜间为黄灯闪耀。 三、设计方案提示

交通灯控制电路

武汉工程大学邮电与信息工程学院实验报告 姓名张宇学号7402150330 指导老师 专业07自动化班级 03 实验室日期 实验题目交通灯控制器的EWB仿真 一、实验目的 通过前面几节的阐述,大家对EWB软件中的元件及仪器的应用有了一定的概念,所以这一节选择了综合性较强的电路——交通灯控制器的仿真设计。 二、实验内容 设计一个主要街道和次要街道十字路口的交通灯控制器。当主要街道绿灯亮6秒时,次要街道的红灯亮;接着主要街道黄灯亮2秒,次要街道的红灯仍然亮;紧接着次要街道的绿灯亮3秒,这时主要街道红灯亮;然后次要街道的黄灯亮1秒,主要街道红灯依然亮;最后主要街道绿灯亮,次要街道变红灯,依次顺序循环控制。 三、实验原理 根据以上要求可知主要街道从绿灯亮到下一次绿灯亮共需12秒,由上述要求可列出这六个灯的真值表,如表8-2所示,其中MG、MY、MR、CG、CY、CR分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。各灯的函数表达式克由EWB的逻辑转换仪获得。因为一次循环需要12秒,所以输入端可应用74LS163芯片来完成时间上的控制。其管脚和功能表基本上与74LS160一样,只是它是二进制计数器,时钟为下跳边触发。首先,12秒一循环相当是一

个模12技术,因此应将Q D、Q B和Q A通过一与非门接到芯片的CR清零端;其次,CP时钟端应输入1Hz的脉冲信号(这一元件可在电源元件库中找到,点中该元件后按鼠标右键,在属性一栏中修改它的输出频率为1Hz);最后,根据下面所得的函数表达式完成电路设计。 M G D C D B D C D B =+=? = M Y C B = M R D =+=? C G D B D A D B D A = C Y D B A = C R D 四、交通灯控制器电路的实现及结果仿真 由上述函数式可画出相应的电路图,按图所示的电路进行仿真,结果达到预期目的。为更直观地观察各灯之间的关系,我们在上图的基础上,街上逻辑分析仪进行仿真测试。如果出错,从图中也能很直观

交通灯控制电路设计.

交通灯控制电路设计作者姓名:2B 专业名称:测控技术与仪器 指导教师:2B 讲师

摘要 本设计主要分为三大模块:输入控制电路、时钟控制电路和显示电路。以AT89C51单片机为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红灯、绿灯和黄灯的燃亮功能;为了系统稳定可靠,采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P2口输出,由CD4511驱动LED数码管显示红灯燃亮时间。 关键词:AT89C51 LED显示交通灯控制

Abstract This design mainly divided into three modules: input control circuit, control circuit and the clock display circuit. With AT89C51 single-chip microcomputer as the center device to designing traffic light controller, realize the AT89C51 chip P0 mouth red lights, a green light and set up the yellow lights brighten function; In order to system is stable and reliable, and USES 74 LS14 Schmitt toggle circuit chip away shaking, to avoid the system for the input signal jitter produce false operation; Show time directly through the P2 mouth AT89C51 output, driven by CD4511 LED digital display red light lit the time. Keywords: AT89C51, LED, display, traffic, control

简易交通灯控制逻辑电路设计

电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级: 2014级

专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计 机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求 本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行;

(3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理 系统上电或手动复位之后,系统先显示状态灯及LED数码管,将状态码值送显P1口,将要显示的时间值的个位和十位分别送显P0口,在此同时用软件方法计时1秒,到达1s就要将时间值减1,刷新LED数码管。 时间到达一个状态所要全部时间,则要进行下一状态判断及衔接,并装入次状态的相应状态码值以及时间值,当然,还要开启两个外部中断,其一为紧急情况处理中断,一旦信号有效,即K键为低电平时进入中断服务子程序,东西南北路口的保持现有通行状态,再按一下K键,中断结束返回。其二为通行时间调整中断,若按键有效,进入相应的中断子程序,对时间进行调整,可延长或减少某一路段的通行时间,此后再按键则中断结束返回。 2.2 简易交通灯控制逻辑电路设计的技术方案

交通灯控制电路的设计

交通灯控制电路的设计 一、设计任务与要求 设计一个十字路口的交通灯控制器,控制A,B 两条交叉道路上的车辆通行,东西方向为主干道A ,南北方向为副干道B ;具体要求如下: 1、每条道路设一组信号灯,每组信号灯有红、黄、绿3个灯组成,绿灯表示允许通过,红灯表示禁止通行,黄灯表示该车道上已过停车线的车辆继续通行,未过停车线的车辆停止通行。 2、主干道通行40秒,南北通行时间为20秒。 3、每次变换通行车道之前,要求黄灯先亮5s ,才能变换通行车道。 4、黄灯亮时,要求每秒闪烁一次。 二、方案设计与论证 首先根据设计的任务与要求,经过分析得出要设计的这个交通灯控制电路的功能满足以下几点: 1、控制主干道A 与副干道B 的信号灯的亮灭。 2、可以对主干道与副干道的信号灯亮的时间进行倒数计时。 3、实现黄灯的每秒闪烁。因此我们可以知道此电路应包含振荡电路、计数器电路、译码显示、主控制电路和信号灯译码驱动器等五个部分,并分析其原理图如图1所示并作出以下两种方案。 图1 方案一: 将整个电路工作循环周期65S 作为总时间,用74LS192芯片在此基础上进行分段,依次是40S 主干道绿灯亮副干道红灯亮,5S 主干道黄灯闪烁,20S 支干道红灯亮副干道绿灯亮,5S 副干道黄灯闪烁。再根据每一段的输出信号不同用基 计数器电路 振荡电路 主控制电路 信号灯译 码驱动器 译码显示

本逻辑门电路连接交通灯来实现每段时间不同的灯亮。此方案易于分析理解,缺点是相对于第二种方案可操作行比较差,需要的原件比较多,看起来比较复杂,不易实现,同时性价比较低。 方案二: 对红绿灯时段分别倒数计时,运用红绿灯变化时的高低电平对74LS192芯片进行置数,使之能分别进行40S、5S、20S倒数计时,再通过74LS160芯片的输出端进行各种逻辑组合运算控制红黄绿三种灯的亮灭,通过555定时器提供的脉冲信号控制黄灯的闪烁。此方案与方案一相比更易操作,用到的逻辑运算器件也比方案一要少,现实应用时可用性好,性价比较高。 三、单元电路设计与参数计算 1、振荡电路 555定时器是一种模拟和数字功能相结合的中规模集成器件,具有成本低,性能可靠的优点,只需外接几个电阻、电容,就可以实现多谐振荡器、单稳态触发器以及施密特触发器等脉冲产生与变换电路。 555定时器的功能表如下: 脉冲信号源选用555定时器产生,频率为1Hz,根据555定时器构成的多谐振荡器原理,可得出公式:振荡周期为T=0.7(R1+R2)C和振荡频率为f=1/T。各项数据可为R1=47KΩ,R2=51KΩ,C1=10uF,C2=10nF,根据555定时器的功能实现效果来连接电路,其电路图如图2所示。 图2 振荡电路

交通灯控制逻辑电路设计

黑龙江工业学院 数字电子技术课程设计报告 院系:电气与信息工程系 专业班级:14电气本八 姓名:耿振 学号:04991408005 指导教师:黄睿 报告成绩:

1.设计目的 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒;要求黄灯先亮5秒,才能变换运行车道;黄灯亮时,要求每秒钟闪亮一次 2.设计任务要求 要求东西方向的红、黄、绿灯和南北方向的红、黄、绿灯按照上面的工作时序进行工作,黄灯亮时应为闪烁状态; 1)南北和东西车辆交替进行,各通行时间24秒 2)每次绿灯变红灯时,黄灯先闪烁4秒,才可以变换运行方向 3)十字路口要有数字显示作为时间提示,以倒计时按照时序要求进行显示; 4)可以手动调整和自动控制,夜间为黄灯闪耀状态(选作:通行时间和黄灯闪亮时间可以在0-99秒内任意设) 3.设计方案选取与论证 依据功能要求,交通灯控制系统应主要由秒脉冲信号发生器、倒计时计数器电路和信号灯转换器组成,原理框图如图1所示。秒脉冲信号发生器是该系统中倒计时计数电路和黄灯闪烁点控制电路的标准时钟信号源。倒计时计数器输出两组驱动信号T5和T0,分别为黄灯闪烁和变换为红灯的控制信号,这两个信号经信号灯转换器控制信号灯工作。倒计时计数电路是系统的主要部分,由它控制信 (1

(2)夜晚工作模式 东西南北各方向黄灯亮,且每秒闪动一次,其他灯不亮。因此总设计图如下图所示: 成。振荡器是计时器的核心,振荡器的稳定度和频率的进度决定了计时器的准确度,可由石英晶体振荡电路或555定时器与RC 组成的多谐振荡器构成。一般来说,振荡器的频率越高,计时的精度就越高,但耗电量将增大,故在设计时,一定根据需要设计出最佳电路。石英晶体振荡器具有频率准确、振荡稳定、温度系数小的特点,但如果精度要求不高的时候可以采用555构成的多谐振荡器。 振荡周期与频率的计算公式为:T=(R 1+2R 2)Cln2=0.7(R 1+2R 2)C,电源电压为Vcc=12V ,其中电路图中C 1的作用是防止电磁干扰对振荡电路的影响,课程设计中要求输出T=1S ,选取电容为C=10nF ,R 1=28.86M Ω,根据振荡周期计算,选择电阻R 2=57.72M Ω。用multisim 进行仿真,仿真图如图所示: ? 555构成的多谐振荡器

相关主题
文本预览
相关文档 最新文档