当前位置:文档之家› 2ASK数字基带信号频带传输系统的设计与建模

2ASK数字基带信号频带传输系统的设计与建模

2ASK数字基带信号频带传输系统的设计与建模
2ASK数字基带信号频带传输系统的设计与建模

2ASK数字基带信号频带传输系统的设计与建模

课程设计任务书

学生姓名:专业班级:

指导教师:工作单位:

题目:2ASK数字信号频带传输系统的设计与建模

初始条件:

(1)MAX+plus II、Quartu s II、ISE等软件;

(2)课程设计辅导书:《通信原理课程设计指导》

(3)先修课程:数字电子技术、模拟电子技术、电子设计EDA、通信原理

要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)

(1)课程设计时间:

(2)课程设计题目:2ASK数字信号频带传输系统的设计与建模;

(3)本课程设计统一技术要求:按照要求对选定的设计题目进行逻辑分析,画出ASK调制解调的方框图,设计出各模块的逻辑功能,编写VHDL语言程序,上机调试、仿真,记录实验结果波形,对实验结果进行分析;

(4)课程设计说明书按学校“课程设计工作

规范”中的“统一书写格式”撰写,并标明参考

文献至少5篇;

(5)写出本次课程设计的心得体会(至少500字)。

时间安排:第19周

参考文献:段吉海.数字通信系统建模与设计.北京:电子工业出版社,2004

江国强.EDA技术与应用. 北京:电子工业出版社,2010

John G. Proakis.Digital Communications. 北京:电子工业出版社,2011

指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

一.摘要 (1)

二.题目分析与设计方案论证 (1)

2.1、ASK调制原理 (1)

2.2、ASK信号的产生 (2)

2.3、ASK解调原理 (2)

三.基于Quartus的系统建模与仿真 (3)

3.1、2ASK数字调制系统 (3)

3.2、2ASK解调系统 (5)

四.心得体会 (7)

五.附录 (8)

六.参考文献 (11)

一.摘要

数字信号的传输方式分为基带传输和带通传输两种方式。其中,数字信号的基带传输系统是指不经载波调制而直接传输数字基带信号的系统。基本的数字调制方式有

2ASK,2FSK,PSK,DPSK等。本文简要介绍了2ASK数字调制与解调的基本原理。并在此基础上运用Quartus,Modelsim等EDA工具对2ASK数字系统进行建模与基本的功能仿真。

二.题目分析与设计方案论证

数字基带信号由于具有丰富的低频分量而不合适在具有带通特性的信道中传输。必须进行数字调制,以使信号与信道的特性相匹配。这种用数字基带信号控制载波,把数字基带信号变换成为数字带通信号(即已调信号)的过程称为数字调制。在接收端可以通过解调器把带通信号还原成数字基带信号,这种过程称为数字解调。

对于2ASK调制,即二进制振幅调制系统,它是通过数字信号的“1”和“0”来对载波进行调制。其中包括调制和解调的过程,这种传输方式称为数字频带传输系统。

2.1、ASK调制原理

数字信号对载波信号的振幅调制称为振幅键控,即ASK(Amplitude Shift Keying)。2ASK就是调制信号为二进制数字基带信号时的振幅键控。

振幅键控就是利用载波的幅度变化来传递数字信息,而其频率和初始相位保持不变。在2ASK中,载波的幅度只有两种变化状态,分别对应二进制信息的“0”或“1”。

2ASK已调信号可表示为

e 0 = s(t) cosωct

式中,ωc为载波角频率,s(t)为单极性NRZ矩形脉冲序列

s(t) =Σan g(t-n Ts)

其中,g(t)是持续时间为Ts、高度为1的矩形脉冲,an为二进制数字

an =

2.2、ASK信号的产生

根据2ASK的基本原理和调制部分概念的理解,对于其调制方法有两种方案:

(1)乘电路调制法

就是用乘法器基带信号s(t)与载波信号cos(ωct)相乘就可以得到调制信号输出。乘法器用来进行频谱搬移,相乘后的信号通过带通滤波器滤除高频谐波河低频干扰,带通滤波器的输出是振幅键控信号。

(2)键控法

所谓键控法就是一个开关电路,但是该开关电路时由输入的基带信号控制,同样也可以得到相同的输出波形。为了控制开关电路,基带信号必须是矩形脉冲信号,高电平的时候,打开开关,低电平的时候,关闭开关。

s(t)→e 0(t)

cosωct

①相乘电路调制法②键控法

图一ASK调制方法原理框图

2.3、ASK解调原理

ASK信号的解调方法有两种:同步解调法(相干解调)和包络解调法(非相干解调)。包络检波法解调器中的整流器和低通滤波器构成一个包络检波器。由于在相干解调中相乘电路需要有相干载波,该载波必须从接受信号中获取,并且与接受信号的载波信号具有相同的频率以及相同的相位,所以比包络解调法复杂。

(a)非相干解

(b)相干

图二ASK解制方法原理框图

ASK随机信号序列的一般表示式为:

e 0(t) = s(t) cosωct = [Σan g(t-n Ts)]cos(ωct)

三.基于Quartus的系统建模与仿真

3.1、2ASK数字调制系统

在Quartus中新建VHDL文件输入2ASK调制的代码后,在VHDL文件名上右键>creat symbol file for current fille,在新建的Block Diagram/Schematic File中得到模型如下图:

图三2ASK调制建模

点击processing>start compilation进行编译成功后得到综合完成的原理图如下:

图四2ASK综合原理图

Quartus11不自带仿真模块,可以通过设置调用modelsim软件进行时序仿真,编译完成后点击tools>Run EDA Simulation Tool>Run RTL Simulation会自动打开Modelsim软件,其界面如下:

图五modelsim界面

将各输入端口给相应波形并添加输出端口后,在wave界面点击run进行时序仿真得到仿真波形如下:

图六2ASK调制仿真波形

从图中可以看出当输入信号x为高电平时,输出波形即为载波波形;当输入信号为低电平时输出也为低,满足2ASK调制原理,实现了数字基带信号的2ASK调制功能。

3.2、2ASK解调系统

输入2ASK解调的VHDL代码后同样得到解调系统的模型如下:

图七2ASK解调系统模型

编译完成后得到综合所的原理图:

图八2ASK解调系统原理图

在modelsim中进行时序仿真得到2ASK解调系统的波形图:

图九2ASK解调系统时序仿真波形

从仿真波形中可以看出输出波形y即为解调后的原始信号,当输入信号为载波时输出高电平,当输入信号为低电平时输出亦为低电平,即实现了2ASK的解调功能。还可以看出输出波形有一定的短延时。

四.心得体会

通过此次的课程设计,使我收获了很多。掌握了2ASK调制解调的原理与VHDL实现,如2ASK信号的产生,相干解调与非相干解调的实现方法,学会了Quartus软件的使用方法,用其进行简单的建模综合得到相关功能元器件的电路原理图,初步学会使用Modelsim 软件进行前时序仿真,得到基本的功能仿真波形,从而判断设计是否合理,能否完成预期的功能。不仅让我对专业方面的知识认识更加深刻,同时也掌握了学习方法,懂得了无论做什么事,都要先弄懂原理,从根本出发,将一切问题分块分部解决的方法。让我今后都受益颇深。

在本次课程设计的过程中,也遇到了很多问题和难点。比如说当我做到解调部分的时候,程序都写完了,但就是波形出不来。不能够正确的仿真出波形和原信号。这让我很头疼,调了好久也没有输出。最后,通过上网搜索资料,并且与同学们一起讨论研究。终于一点点发现了问题,最后调出了波形。这个过程中学会了分析问题,一步步派出解决问题的方法,体会到了解决问题的愉快。

虽然过程很坎坷,但结果却令人欣慰。我觉得在这次的课程设计中,收获的不仅是我们自己的方面,更多的也有团队的合作和与别人的交流和沟通。这让我们能够更好的与别人分享和交流,为我们在今后的学习和工作道路上都有所帮助。

五.附录

2ASK数字调制VHDL实现代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity ask is ——定义实体

port( clk:in std_logic;

start:in std_logic;

x:in std_logic;

y:out std_logic);

end;

architecture ff of ask is

signal q:integer range 0 to 3; ——定义时钟四分频信号signal f:std_logic;

begin

process(clk)

begin

if(clk'event and clk='1') then

if(start='0')then

q<=0;

elsif(q<=1)then

f<='1';

q<=q+1;

elsif(q=3)then ——实现四分频的分频器f<='0';

q<=0;

else

f<='0';q<=q+1;

end if;

end process;

y<=x and f; ——输入基带信号与载波相与实现键控调制end;

2ASK数字解调VHDL实现代码:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity ask2 is ——定义2ASK解调系统实体

port( clk:in std_logic;

start:in std_logic;

x:in std_logic;

y:out std_logic);

end;

architecture ff of ask2 is ——定义结构体

signal q:integer range 0 to 11; ——对时钟信号12分频

signal f:std_logic;

signal n:integer range 0 to 5; ——进行短延时,一般抽样判决

begin

process(clk)

begin

if(clk'event and clk='1') then f<=x;

if(start='0')then

q<=0;

elsif(q<=11)then

q<=0;

else q<=q+1;

end if;

end process;

process(f,q)

begin

if q=11 then n<=0; ——实现12分频

elsif q=10 then

if n<=2 then y<='0'; ——当检测到不多于三个波形是判为0 else y<='1';

end if;

elsif f'event and f='1' then n<=n+1;

end if;

end process;

end;

六.参考文献

【1】、樊昌信.通信原理.北京:国防工业出版社,2007

【2】、朱正伟.EDA技术及应用.北京:清华大学出版社,2005

【3】、刘爱荣,王振成.EDA技术与CPLD/FPGA开发应用简明教程.北京:清华大学出版社,2007

【4】、江国强.EDA技术与应用[M].北京:电子工业出版社,2004

【5】、梨洪松.数字通信原理.西安:西安电子科技大学出版社,2005

本科生课程设计成绩评定表

指导教师签字:

年月日

相关主题
文本预览
相关文档 最新文档