当前位置:文档之家› 计算机组成原理实验

计算机组成原理实验

计算机组成原理实验

一、实验目的

本实验旨在通过实际操作,加深对计算机组成原理的理解,掌握计算机硬件的

基本原理和工作方式。

二、实验设备和材料

1. 计算机主机:型号为XXX,配置了XXX处理器、XXX内存、XXX硬盘等。

2. 显示器:型号为XXX,分辨率为XXX。

3. 键盘和鼠标:标准配置。

4. 实验板:包括CPU、内存、存储器、输入输出接口等模块。

5. 逻辑分析仪:用于分析和调试电路信号。

6. 示波器:用于观测电路信号的波形。

三、实验内容

1. 实验一:CPU的工作原理

a. 将实验板上的CPU模块插入计算机主机的CPU插槽中。

b. 连接逻辑分析仪和示波器,用于观测和分析CPU的工作信号和波形。

c. 打开计算机主机,启动操作系统。

d. 运行一段简单的程序,观察CPU的工作状态和指令执行过程。

e. 通过逻辑分析仪和示波器的数据分析,了解CPU的时钟信号、数据总线、

地址总线等工作原理。

2. 实验二:内存的存储和读写

a. 将实验板上的内存模块插入计算机主机的内存插槽中。

b. 打开计算机主机,启动操作系统。

c. 编写一个简单的程序,将数据存储到内存中。

d. 通过逻辑分析仪和示波器的数据分析,观察内存的写入和读取过程,了解内存的存储原理和读写速度。

3. 实验三:存储器的工作原理

a. 将实验板上的存储器模块插入计算机主机的存储器插槽中。

b. 打开计算机主机,启动操作系统。

c. 编写一个简单的程序,读取存储器中的数据。

d. 通过逻辑分析仪和示波器的数据分析,观察存储器的读取过程,了解存储器的工作原理和数据传输速度。

4. 实验四:输入输出接口的工作原理

a. 将实验板上的输入输出接口模块插入计算机主机的扩展插槽中。

b. 打开计算机主机,启动操作系统。

c. 编写一个简单的程序,通过输入输出接口实现数据的输入和输出。

d. 通过逻辑分析仪和示波器的数据分析,观察输入输出接口的工作过程,了解数据的传输和控制原理。

四、实验结果分析

1. 实验一:通过观察CPU的工作状态和指令执行过程,可以验证CPU的时钟信号、数据总线、地址总线等工作原理是否正确。

2. 实验二:通过观察内存的写入和读取过程,可以验证内存的存储原理和读写

速度是否符合要求。

3. 实验三:通过观察存储器的读取过程,可以验证存储器的工作原理和数据传

输速度是否正常。

4. 实验四:通过观察输入输出接口的工作过程,可以验证数据的传输和控制原

理是否正常。

五、实验结论

通过完成以上实验,我深入了解了计算机组成原理中CPU、内存、存储器和输入输出接口等硬件模块的工作原理和工作方式。通过观察和分析实验结果,我对计算机的硬件结构和工作流程有了更深刻的理解,并且掌握了相关的实验操作技巧。

六、实验注意事项

1. 在进行实验前,确保实验设备和材料的连接正确且稳定。

2. 在实验过程中,注意保持实验环境的整洁和安全。

3. 实验操作过程中,注意观察和记录实验现象和数据,及时进行分析和总结。

4. 实验结束后,及时关闭计算机主机和相关设备,保持实验设备的安全和完好。

以上是关于计算机组成原理实验的详细内容和要求。希望能对您的学习和实验

有所帮助。如有任何问题,请随时向我提问。

计算机组成原理实验

计算机组成原理实验 一、实验目的 本实验旨在通过实际操作,加深对计算机组成原理的理解,掌握计算机硬件的 基本原理和工作方式。 二、实验设备和材料 1. 计算机主机:型号为XXX,配置了XXX处理器、XXX内存、XXX硬盘等。 2. 显示器:型号为XXX,分辨率为XXX。 3. 键盘和鼠标:标准配置。 4. 实验板:包括CPU、内存、存储器、输入输出接口等模块。 5. 逻辑分析仪:用于分析和调试电路信号。 6. 示波器:用于观测电路信号的波形。 三、实验内容 1. 实验一:CPU的工作原理 a. 将实验板上的CPU模块插入计算机主机的CPU插槽中。 b. 连接逻辑分析仪和示波器,用于观测和分析CPU的工作信号和波形。 c. 打开计算机主机,启动操作系统。 d. 运行一段简单的程序,观察CPU的工作状态和指令执行过程。 e. 通过逻辑分析仪和示波器的数据分析,了解CPU的时钟信号、数据总线、 地址总线等工作原理。

2. 实验二:内存的存储和读写 a. 将实验板上的内存模块插入计算机主机的内存插槽中。 b. 打开计算机主机,启动操作系统。 c. 编写一个简单的程序,将数据存储到内存中。 d. 通过逻辑分析仪和示波器的数据分析,观察内存的写入和读取过程,了解内存的存储原理和读写速度。 3. 实验三:存储器的工作原理 a. 将实验板上的存储器模块插入计算机主机的存储器插槽中。 b. 打开计算机主机,启动操作系统。 c. 编写一个简单的程序,读取存储器中的数据。 d. 通过逻辑分析仪和示波器的数据分析,观察存储器的读取过程,了解存储器的工作原理和数据传输速度。 4. 实验四:输入输出接口的工作原理 a. 将实验板上的输入输出接口模块插入计算机主机的扩展插槽中。 b. 打开计算机主机,启动操作系统。 c. 编写一个简单的程序,通过输入输出接口实现数据的输入和输出。 d. 通过逻辑分析仪和示波器的数据分析,观察输入输出接口的工作过程,了解数据的传输和控制原理。 四、实验结果分析 1. 实验一:通过观察CPU的工作状态和指令执行过程,可以验证CPU的时钟信号、数据总线、地址总线等工作原理是否正确。

计算机组成原理 实验一 运算器组成实验

实验一运算器组成实验 一、实验目的 1.熟悉双端口通用寄存器堆的读写操作。 2.熟悉简单运算器的数据传送通路。 3.验证运算器74LS181的算术逻辑功能。 4.按给定数据,完成指定的算术、逻辑运算。 二、实验电路 S3 S2 S1 S0 M 图3.1 运算器实验电路 图3.1示出了本实验所用的运算器数据通路图。参与运算的数据首先通过实验台操作板上的八个二进制数据开关SW7-SW0来设置,然后输入到双端口通用寄存器堆RF中。 RF(U54)由一个ispLSI1016实现,功能上相当于四个8位通用寄存器,用于保存参与运算的数据,运算后的结果也要送到RF中保存。双端口寄存器堆模块的控制信号中,RS1、RS0用于选择从B端口(右端口)读出的通用寄存器,RD1、RD0用于选择从A端口(左端口)读出的通用寄存器。而WR1、WR0用于选择写入的通用寄存器。LDRi是写入控制信号,当LDRi=1时,数据总线DBUS上的数据在T3写入由WR1、WR0指定的通用寄存器。RF的A、

B端口分别与操作数暂存器DR1、DR2相连;另外,RF的B端口通过一个三态门连接到数据总线DBUS上,因而RF中的数据可以直接通过B端口送到DBUS上。 DR1(U47)和DR2(U48)各由1片74LS273构成,用于暂存参与运算的数据。DR1接ALU 的A输入端口,DR2接ALU的B输入端口。ALU(U31、U35)由两片74LS181构成,ALU的输出通过一个三态门(74LS244)发送到数据总线DBUS上。 实验台上的八个发光二极管DBUS7-DBUS0显示灯接在DBUS上,可以显示输入数据或运算结果。另有一个指示灯C显示运算器进位标志信号状态。 图中尾巴上带粗短线标记的信号都是控制信号,其中S3、S2、S1、S0、M、Cn#、LDDR1、LDDR2、ALU_BUS#、SW_BUS#、LDRi、RS1、RS0、RD1、RD0、WR1、WR0都是电位信号,在本次实验中用拨动开关K0—K15来模拟;T2、T3为时序脉冲信号,印制板上已连接到实验台的时序电路。实验中进行单拍操作,每次只产生一组T1、T2、T3、T4时序脉冲,需将实验台上的DP、DB开关进行正确设置。将DP开关置1,DB开关置0,每按一次QD按钮,则顺序产生T1、T2、T3、T4一组单脉冲。 三、实验设备 1.TEC-5计算机组成实验系统1台 2.逻辑测试笔一支(在TEC-5实验台上) 3.双踪示波器一台(公用) 4.万用表一只(公用) 四、实验任务 1.按图3.1所示,将运算器模块与实验台操作板上的线路进行连接。由于运算器模块 内部的连线已由印制板连好,故接线任务仅仅是完成数据开关、控制信号模拟开 关、与运算器模块的外部连线。注意:为了建立清楚的整机概念,培养严谨的科 研能力,手工连线是绝对必要的。 2.用开关SW7—SW0向通用寄存器堆RF内的R0—R3寄存器置数。然后读出R0—R3 的内容,在数据总线DBUS上显示出来。 3.验证ALU的正逻辑算术、逻辑运算功能。 令DR1=55H,DR2=0AAH,Cn#=1。在M=0和M=1两种情况下,令S3—S0的值从0000B变到1111B,列表表示出实验结果。实验结果包含进位C,进位C由指示灯显示。 注意:进位C是运算器ALU最高位进位Cn+4#的反,即有进位为1,无进位为0。 五、实验要求 1.做好实验预习,掌握运算器的数据传输通路及其功能特性,并熟悉本实验中所用 的模拟开关的作用和使用方法。 2.写出实验报告,内容是: (1)实验目的。 (2)按实验任务3的要求,列表表示出实验结果。 (3)按实验任务4的要求,在表中填写各控制信号模拟开关值,以及运算结果值。 六、实验步骤和实验结果 (1)实验任务2 的实验步骤和结果如下:(假定令R0=34H,R1=21H,R2=52H,R3=65H)1.置DP=1,DB=0,编程开关拨到正常位置。 接线表如下:

计算机组成原理全部实验

计算机科学技术系王玉芬2012年11月3日

基础实验部分该篇章共有五个基础实验组成,分别是: 实验一运算器实验 实验二存储器实验 实验三数据通路组成与故障分析实验 实验四微程序控制器实验 实验五模型机CPU组成与指令周期实验

实验一运算器实验 运算器又称作算术逻辑运算单元(ALU),是计算机的五大基本组成部件之一,主要用来完成算术运算和逻辑运算。 运算器的核心部件是加法器,加减乘除运算等都是通过加法器进行的,因此,加快运算器的速度实质上是要加快加法器的速度。机器字长n位,意味着能完成两个n位数的各种运算。就应该由n个全加器构成n位并行加法器来实现。通过本实验可以让学生对运算器有一个比较深刻的了解。 一、实验目的 1.掌握简单运算器的数据传输方式。 2.掌握算术逻辑运算部件的工作原理。 3. 熟悉简单运算器的数据传送通路。 4. 给定数据,完成各种算术运算和逻辑运算。 二、实验内容: 完成不带进位及带进位的算术运算、逻辑运算实验。 总结出不带进位及带进位运算的特点。 三、实验原理: 1.实验电路图

图4-1 运算器实验电路图

2.实验数据流图 图4-2 运算器实验数据流图 3.实验原理 运算器实验是在ALU UNIT 单元进行;单板方式下,控制信号,数据,时序信号由实验仪的逻辑开关电路和时序发生器提供,SW7-SW0八个逻辑开关用于产生数据,并发送到总线上;系统方式下,其控制信号由系统机实验平台可视化软件通过管理CPU 来进行控制,SW7-SW0八个逻辑开关由可视化实验平台提供数据信号。 (1)DR1,DR2:运算暂存器, (2)LDDR1:控制把总线上的数据打入运算暂存器DR1,高电平有效。 (3)LDDR2:控制把总线上的数据打入运算暂存器DR2,高电平有效。 (4)S3,S2,S1,S0:确定执行哪一种算术运算或逻辑运算(运算功能表见附录1或者课本第49页)。 (5)M :M =0执行算术操作;M =1执行逻辑操作。 (6)/CN :/CN =0表示ALU 运算时最低位加进位1;/CN =1则表示无进位。 (7)ALU -BUS :控制运算器的运算结果是否送到总线BUS ,低电平有效。 (8)SW -BUS :控制8位数据开关SW7-SW0的开关量是否送到总线,低电平有效。 四、实验步骤: 实验前首先确定实验方式(是手动方式还是系统方式),如果在做手动方式实验则将方式选择开关置手动方式位置(31个开关状态置成单板方式)。实验箱已标明手动方式和系统方式标志。所有的实验均由手动方式来实现。如果用系统方式,则必须将系统软件安装到系统机上。将方式标志置系统模式位置。学生所做的实验均在系统机上完成。其中包括高 ALU DR1 DR2 LDDR1 T4 LDDR2 T4 S1 S2 M0 S0 CN S3

计算机组成原理实验报告

实验1 通用寄存器实验 一、实验目的 1.熟悉通用寄存器的数据通路。 2.了解通用寄存器的构成和运用。 二、实验要求 掌握通用寄存器R3~R0的读写操作。 三、实验原理 实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。DRCK信号为寄存器组打入脉冲,上升沿有效。准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。 图2-3-3 通用寄存器数据通路

四、实验内容 1. 实验连线 K23~K0置“1”,灭M23~M0控位显示灯。然后按下表要求“搭接”部件控制电路。 连线 信号孔 接入孔 作用 有效电平 1 DRCK CLOCK 单元手动实验状态的时钟来源 上升沿打入 2 X2 K10(M10) 源部件译码输入端X2 三八译码 八中选一 低电平有效 3 X1 K9(M9) 源部件译码输入端X1 4 X0 K8(M8) 源部件译码输入端X0 5 XP K7(M7) 源部件奇偶标志:0=偶寻址,1=奇寻址 6 SI K20(M20) 源寄存器地址:0=CX ,1=DX 7 RWR K18(M18) 通用寄存器写使能 低电平有效 8 DI K17(M17) 目标寄存器地址:0=CX ,1=DX 9 OP K16(M16) 目标部件奇偶标志:0=偶寻址,1=奇寻址 2. 寄存器的读写操作 ① 目的通路 当RWR=0时,由DI 、OP 编码产生目的寄存器地址,详见下表。 通用寄存器“手动/搭接”目的编码 目标使能 通用寄存器目的编址 功能说明 RW(K18) DI(K17) OP(K16) T 0 0 0 ↑ R0写 0 0 1 ↑ R1写 0 1 0 ↑ R2写 0 1 1 ↑ R3写 ② 通用寄存器的写入 通过“I/O 输入输出单元”向R0、R1寄存器分别置数11h 、22h ,操作步骤如下: 通过“I/O 输入输出单元”向R2、R3寄存器分别置数33h 、44h ,操作步骤如下: ③ 源通路 当X2~X0=001时,由SI 、XP 编码产生源寄存器,详见下表。 通用寄存器“手动/搭接”源编码 源使能 通用寄存器源编址 功能说明 K10 K9 K8 K20 K7 X2 X1 X0 SI XP 置数 I/O=XX33h 数据来源 I/O 单元 寄存器 R2=33h K10~K7=1000 按【单拍】按钮 置数 I/O=XX44h 寄存器 R3=44h 按【单拍】按钮 K18~K16=010 K18~K16=011 置数 I/O=XX11h 数据来源 I/O 单元 寄存器 R0=11h K10~K7=1000 按【单拍】按钮 置数 I/O=XX22h 寄存器 R1=22h 按【单拍】按钮 K18~K16=000 K18~K16=001

计算机组成原理实验

计算机组成原理实验 计算机组成原理实验报告 1. 引言 计算机组成原理实验是计算机类专业学生进行的重要实践课程之一。通过实验,学生可以深入了解计算机系统的各个组成部分以及它们的功能和工作原理。 2. 实验目的 本次实验的主要目的是探究计算机中的主要组成部分,包括中央处理器(CPU)、内存、输入输出设备以及硬盘等,并了解它们的相互连接与调度方式。 3. 实验装置和材料 本实验使用了一台计算机,配备有Intel Core i7处理器、8GB 内存和500GB硬盘。实验中还使用了键盘、鼠标和显示器等输入输出设备。 4. 实验过程及结果 4.1 CPU实验 在这个实验中,我们通过编写汇编语言程序来实现简单的数值运算。实验结果显示,CPU能够根据程序逐条执行指令,并正确计算出结果。 4.2 内存实验 通过编写C语言程序,我们对内存进行读写操作。实验结果显示,内存可以正确存储和读取数据,并且能够保持数据的

一致性。 4.3 输入输出设备实验 在这个实验中,我们测试了键盘和鼠标的输入功能以及显示器的输出功能。实验结果显示,输入设备能够正确识别用户的输入,而输出设备能够正确显示结果。 4.4 硬盘实验 通过读写文件的操作,我们测试了硬盘的存储和检索功能。实验结果显示,硬盘能够正确存储和读取文件,并且能够在短时间内进行大量的数据传输。 5. 结论 通过本次实验,我们深入了解了计算机系统的各个组成部分以及它们的功能和工作原理。实验结果表明,计算机的各个组件能够正常工作,并且能够协同工作以完成复杂的任务。 6. 参考文献 [1] 《计算机组成原理实验指导书》 [2] Smith, J.E., & Jones, P. 《Computer Organization and Design: The Hardware/Software Interface》. Morgan Kaufmann, 2014.

计算机组成原理的实验报告

计算机组成原理的实验报告计算机组成原理的实验报告 程序控制器实验 一、实验目的: (1) 理解时序产生器的原理,了解时钟和时序信号的波形。 (2) 掌握微程序控制器的功能、组成知识。 (3) 掌握微指令格式和各字段功能。 (4) 掌握微指令的编制、写入、观察微程序的运行 二、实验设备 PC机一台,TD―CM3+实验系统一套。 三、实验内容及要求: (一)实验原理: 微程序控制电路与微指令格式 (A) 微程序控制电路 微程序控制器的组成见图10,其中控制存储器采用3片2816的EPROM,具有掉电保 2 护功能,微命令寄存器18位,用两片8D触发器(74273)和一片4D(74175)触发器组成。微地址寄存器6位,用三片正沿触发的双D触发器(7474)组成,它们带有清“0”端和预置端。在不判别测试的情况下,T2时刻打入微地址寄存器的内容即为下一条微指令地址。当T4时刻进行测试判别时,转移逻辑满足条件后输出的负脉冲通过强置端将某一触发器置为“1”状态,完成地址修改。 在该实验电路中设有一个编程开关(位于实验板右上方),它具有三种状态:PROM (编程)、READ(校验)、RUN(运行)。当处于“编程状态”时,实验者可根据微地址和微指令格式将微指令二进制代码写入到控制存储器2816中。当处于“校验状态”时,可以对写入控制存储器中的二进制代码进行验证,从而可以判断写入的二进制代码是否正确。当处于“运行状态”时,只要给出微程序的入口微地址,则可根据微程序流程图自动执行微程序。图中微地址寄存器输出端增加了一组三态门,目的是隔离触发器的输出,增加抗干扰能力,并用来驱动微地址显示灯。 微程序控制器原理图图10

计算机组成原理实验报告

计算机组成原理实验报告 实验一静态随机存取存贮器实验 一.实验目的 介绍静态随机存取存贮器的工作原理;掌控读取存贮器的方法。 二.实验内容 实验仪的存贮器mem单元采用一片静态存贮器6116(2k×8bit)存放程序和数据。 ce:片选信号线,低电平有效,实验仪已将该管脚接地。oe:读信号线,低电平有效。we:写信号线,低电平有效。a0..a10:地址信号线。i/o0..i/o7:数据信号线。 ceoewe功能1××不选上6116001读010写下000不确认sram6116功能表 存贮器挂在cpu的总线上,cpu通过读写控制逻辑,控制mem的读写。实验中的读写 控制逻辑如下图: 读取掌控逻辑 m_ni/o用来选择对mem还是i/o读写,m_ni/o=1,选择存贮器mem;m_ni/o=0,选择 i/o设备。nrd=0为读操作;nwr=0为写操作。对mem、i/o的写脉冲宽度与t2一致;读脉冲宽度与t2+t3一致,t2、t3由con单元提供。 存贮器实验原理图 存贮器数据信号线与数据总线dbus相连;地址信号线与地址总线abus相连,6116的高三位地址a10..a8接地,所以其实际容量为256字节。 数据总线dbus、地址总线abus、掌控总线cbus与拓展区单元相连,拓展区单元的数 码管、发光二极管上表明对应的数据。 in单元通过一片74hc245(三态门),连接到内部数据总线idbus上,分时提供地址、 数据。mar由锁存器(74hc574,锁存写入的地址数据)、三态门(74hc245、控制锁存器 中的地址数据是否输出到地址总线上)、8个发光二极管(显示锁存器中的地址数据)组成。 t2、t3由con单元提供更多,按一次con单元的ustep键,时序单元收到t1信号; 按一次ustep键,时序单元收到t2信号;按一次ustep键,时序单元收到t3信号;再按 一次ustep键,时序单元又收到t1信号,……

计算机组成原理实验报告

计算机组成原理实验报告 引言 计算机组成原理是计算机科学与技术的基础课程之一,通过实验可以更好地理解和掌握计算机的组成和工作原理。本文将结合实验的过程和结果,详细论述计算机组成原理的一些关键概念和实际应用。 一、实验目的 本次实验的目的是通过搭建一个简单的计算机系统,深入了解计算机的各个组成模块,如中央处理器(CPU)、存储器、输入输出设备等,并验证计算机的基本工作原理。 二、实验内容 本次实验分为两个部分,第一部分是计算机系统的搭建,包括CPU的设计与实现、存储器的设计与实现等;第二部分是对已搭建的系统进行功能测试,包括寄存器的读写、指令的执行等。 1. CPU的设计与实现

CPU是计算机的核心处理单元,它负责执行各种指令,并控制 计算机的运行状态。在本次实验中,我们采用了冯·诺依曼结构的 单周期CPU设计,包括指令寄存器、算术逻辑单元、控制单元等 组成部分。通过在实验中的操作和执行,我们深入理解了指令的 编码方式、运算的过程等。 2. 存储器的设计与实现 存储器是计算机系统中的主要组成部分,用于存放指令和数据。在本次实验中,我们设计了一个简单的存储器,采用了随机存取 存储器(RAM)的结构。通过实验中的存储器读写操作,我们了 解了存储器的寻址方式、数据的存取过程等。 三、实验结果与分析 经过实验的搭建和测试,我们成功完成了计算机系统的建设, 并验证了其基本功能。在测试过程中,我们发现了一些问题和改 进之处,例如CPU的时钟频率过低导致指令执行速度较慢,存储 器的容量不足等。通过对这些问题的研究和分析,我们能够进一 步优化和改进计算机系统的性能。 四、实验心得体会

计算机组成原理全部实验

计算机组成原理全部实验 1. 实验目的 计算机组成原理课程的全部实验目的在于帮助学生更深入地理解计算机硬件系统的构造,了解计算机内部各个组成部分及其功能,掌握相应的实验技能和分析能力。 2. 实验内容 计算机组成原理课程包含多个实验,以下是课程全部实验的内容: 2.1. 实验一:数字电路实验 数字电路实验主要是让学生了解数字电路基本逻辑电路、组合逻辑电路以及触发器等基本电路,在实践中掌握数字电路的实际应用及其操作技能。 2.2. 实验二:寄存器与计数器实验 寄存器与计数器实验主要是让学生了解寄存器与计数器的结构与应用,理解寄存器和计数器在计算机体系结构中的作用、功能和运行机制,并通过实际操作,掌握寄存器和计数器的使用方法和处理技巧。 2.3. 实验三:ALU设计与实现实验 ALU设计与实现实验主要是让学生了解算术逻辑单位(ALU)的基本概念、结构和功能,并通过实际设计与实现,掌握ALU的设计方法、操作技能及其与其它计算机硬件单元的协作能力。 2.4. 实验四:单周期CPU设计与实现实验 单周期CPU设计与实现实验主要是让学生了解计算机CPU的基本结构、运行原理与设计方法,掌握单周期CPU的实现技术、操作方法,以及与其它计算机硬件单元的协作能力。 2.5. 实验五:多周期CPU设计与实现实验 多周期CPU设计与实现实验是在单周期CPU设计与实现基础上,通过多周期操作提高CPU性能、实现对数据的更加复杂的处理能力等目的。学生将通过实验掌握多周期CPU的设计、实现与操作技术,以及与其它计算机硬件单元的协作能力。

2.6. 实验六:流水线CPU设计与实现实验 流水线CPU设计与实现实验主要是让学生了解把指令的执行划分成若干个步骤,再将每个步骤放到单独的硬件上,这样指令的执行就变成了同时处理多条指令的方式——流水线。学生将通过实验掌握流水线CPU的设计、实现与操作技术, 以及与其它计算机硬件单元的协作能力。 3. 实验要求 在实验过程中,学生需要有良好的团队协作能力,能够理解实验要求及其背后 的相关理论知识,灵活运用实验设备和软件工具,果断独立地解决实验中出现的问题,准确地记录实验数据和处理结果,从中反思、并获得知识和技能的进一步提升。其中,实验四、实验五和实验六需要使用电子设计软件实现。 4. 实验体会 计算机组成原理全部实验,是一个让学生了解计算机硬件内部组成原理及其应 用的过程,每个实验都有其独特的意义。在实验过程中,通过操作实验设备,学生对计算机硬件的各个部分都能够有更深入的理解和认识,掌握了基本的硬件设计方法和技巧。同时,实验也暴露了学生在计算机硬件设计和操作方面的不足之处,让学生不断地完善自我、提高技能。 计算机组成原理全部实验,是让学生对计算机硬件结构有更深入的认识和理解,掌握整个计算机硬件系统的设计、制造和应用的过程,提高学生的实际操作技能和综合分析能力的重要途径。

计算机组成原理实验报告

实验一:数字逻辑——交通灯系统设计子实验1: 7 段数码管驱动电路设计 (1)理解利用真值表的方式设计电路的原理; (2)利用Logisim 真值表自动生成电路的功能,设计一个 7 段数码管显示驱动。 二、实验方案设计 7 段数码管显示驱动的设计方案: (1)输入:4 位二进制 (2)输出:7 段数码管 7 个输出控制信号 (3)电路引脚: (4)实现功能:利用 7 段数码管显示 4 位二进制的 16 进制值 (5)设计方法: 由于该实验若直接进行硬件设计会比较复杂,而7 段数码管显示的真值表较容易掌握,所以我们选择由真值表自动生成电路的方法完成该实验。 先分析设计 7 段数码管显示驱动的真值表,再利用Logisim 中的“分析组合逻辑电路”功能,将真值表填入,自动生成电路。 (6)真值表的设计: 由于是 4输入 7输出,真值表共有 16 行。7输出对应 7个引脚,所以需要依次对照LED 灯的引脚顺序进行设计,如下图所示(注意LED 的引脚顺序): 三、实验步骤 (1)在实验平台下载实验框架文件RGLED.circ; (2)在Logisim 中打开RGLED.circ 文件,选择数码管驱动子电路;

(3)点击“工程”中的“分析组合逻辑电路”功能,先构建4输入和7输出,再在“真值表”中,将已设计好的真值表的所有数值仔细对照着填入表格中,确认无误后点击“生成电路”,自动生成的电路如下图所示: (4)将子电路封装为如下形式:

(5)进行电路测试: ·自动测试 在数码管驱动测试子电路中进行测试; ·平台评测 自动测试结果满足实验要求后,再利用记事本打开RGLED.circ 文件,将所有文字信息复制粘贴到Educoder 平台代码区域,点击评测按钮进行测试。 四、实验结果测试与分析 (1)自动测试的部分结果如下: (2)平台测试结果如下: 综上,本实验测试结果为通过,无故障显示。 本实验的关键点在于:在设计时需要格外注重LED 灯的引脚顺序,保证0-9 数字显示的正确性,设计出正确的真值表。

计算机组成原理-实验1静态随机存储器实验

计算机组成原理实验报告 实验名称:静态随机存储器实验 实验类型:验证型 实验环境:PC + TD-CMA实验系统 指导教师: 专业年级: 姓名: 学号: 实验地点: 实验日期: 实验成绩:

一、实验目的: 掌握静态随机存储器 RAM 工作特性及数据的读写方法 二、实验过程 实验原理 实验所用的静态存储器由一片 6116(2K×8bit)构成(位于 MEM 单元),如图 2-1-1 所示。 6116 有三个控制线: CS(片选线)、 OE(读线)、 WE(写线),其功能如表 2-1-1所示,当片选有效(CS=0)时, OE=0 时进行读操作, WE=0 时进行写操作,本实验将 CS 常接地。 图 2-1-1 SRAM 6116 引脚图 由于存储器(MEM)最终是要挂接到 CPU 上,所以其还需要一个读写控制逻辑,使得 CPU 能控制 MEM 的读写,实验中的读写控制逻辑如图 2-1-2 所示,由于 T3 的参与,可以保证 MEM的写脉宽与 T3 一致, T3 由时序单元的 TS3 给出(时序单元的介绍见附录2)。 IOM 用来选择是对 I/O 还是对 MEM 进行读写操作, RD=1 时为读, WR=1 时为写。

实验原理图如图 2-1-3 所示,存储器数据线接至数据总线,数据总线上接有 8 个 LED 灯显示 D7…D0 的内容。地址线接至地址总线,地址总线上接有 8 个 LED 灯显示 A7…A0 的内容,地址由地址锁存器(74LS273,位于 PC&AR 单元)给出。数据开关(位于 IN 单元)经一个三态门(74LS245)连至数据总线,分时给出地址和数据。地址寄存器为 8 位,接入 6116的地址 A7…A0, 6116 的高三位地址 A10…A8 接地,所以其实际容量为 256 字节。 图 2-1-3 存储器实验原理图 实验箱中所有单元的时序都连接至时序与操作台单元, CLR 都连接至 CON 单元的 CLR 按钮。实验时 T3 由时序单元给出,其余信号由 CON 单元的二进制开关模拟给出,其中 IOM 应为低(即 MEM 操作), RD、 WR 高有效, MR 和 MW 低有效, LDAR 高有效。 (1)关闭电源,连接实验电路,并检查无误。 (2) 将时序与操作台单元的开关 KK2 置为‘单拍’档,开关 KK1、 KK3 置为‘运行’档。 (3) 将 CON 单元的 IOR 开关置为 1(使 IN 单元无输出),打开电源开关,如果听到有

计算机组成原理实验项目及实验报告

计算机组成原理实验项目 实验一运算器组成(2学时)验证性 实验内容:使用181四位算术逻辑芯片实现八位算术逻辑运算实验。 基本要求: 1、掌握简单运算器的数据传送通路; 2、验证运算功能发生器(74LS181)的组合功能。 实验二存储器原理(2学时)验证性 实验内容:通过总线系统验证存储器的存储功能。 基本要求: 1、掌握静态随机存储器RAM工作特性及数据的读写方法; 2、掌握存储器读/写电路的设计方法。 实验三寄存器实验(2学时)验证性 实验内容:使用八位寄存器验证寄存器的存储功能。 基本要求: 1、掌握寄存器操作时序。 2、掌握寄存器电路的连接方法。 实验四时序生成电路实验(2学时)设计性 实验内容:验证控制器所需要的T1~T4的生成。 基本要求: 1、掌握模型机时序特征; 2、设计时序生成电路。 3、通过示波器验证T1~T4的状态周期。 实验五微程序控制器(2学时)验证性 实验内容:使用模型机验证微指令与微操作的关系,验证微程序执行时序。 基本要求: 1、掌握时序产生器的工作原理和组成原理; 2、掌握微程序的编制、写入、观察微程序的执行; 3、掌握硬布线控制器的组成原理、设计方法; 4、了解硬布线控制器和微程序控制器的各自优缺点。掌握简单运算器的数据传送通路。 三、主要仪器设备 计算机、Proteus仿真软件、模型机仿真软件

计算机硬件实验室实验报告 课程名称: 姓名学号班级成绩设备名称及软件环境 实验名称实验日期 一.实验内容 题目及要求 二.理论分析或算法分析 芯片功能以及芯片真值表 三.实现方法(含实现思路、程序流程图、实验电路图和源程序列表等) 电路图及相关说明 四.实验结果分析(含执行结果验证、输出显示信息、图形、调试过程中所遇的问题及处理方法等)结果真值表,运行态抓图,以及相关的说明 五.结论 验证了什么?和题目要求对应 报告提交日期 (注意:内容写不下时可另附页。)

计算机组成原理实验报告(运算器组成、存储器)

计算机组成原理实验报告(运算器组成、存储器) 计算机组成原理实验报告 一、实验1 Quartus Ⅱ的使用 一.实验目的 掌握Quartus Ⅱ的基本使用方法。 了解74138(3:8)译码器、74244、74273的功能。 利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 二.实验任务 熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。 三.74138、74244、74273的原理图与仿真图 1.74138的原理图与仿真图 74244的原理图与仿真图 1. 4. 74273的原理图与仿真图、 实验2 运算器组成实验 一、实验目的 1.掌握算术逻辑运算单元(ALU)的工作原理。 2.熟悉简单运算器的数据传送通路。 3.验证4位运算器(74181)的组合功能。 4.按给定数据,完成几种指定的算术和逻辑运算。 二、实验电路 附录中的图示出了本实验所用的运算器数据通路图。8位字长的ALU由2片74181构成。2片74273构成两个操作数寄存器DR1和DR2,用来保存参与运算的数据。DR1接ALU的A数据输入端口,DR2接ALU的B数据输入端口,ALU的数据输出通过三态门74244

发送到数据总线BUS7-BUS0上。参与运算的数据可通过一个三态门74244输入到数据总线上,并可送到DR1或DR2暂存。 图中尾巴上带粗短线标记的信号都是控制信号。除了T4是脉冲信号外,其他均为电位信号。nC0,nALU-BUS,nSW-BUS均为低电平有效。 三、实验任务 按所示实验电路,输入原理图,建立.bdf文件。 四. 实验原理图及仿真图 给DR1存入01010101,给DR2存入10101010,然后利用ALU 的直通功能,检查DR1、 DR2中是否保存了所置的数。其实验原理图如下: 波形图如下: 实验3 半导体存储器原理实验 (一)、实验目的 (1)熟悉静态随机存储器RAM和只读存储器ROM的工作特性和使用方法; (2)熟悉半导体存储器存储和读出数据的过程; (3)了解使用半导体存储器电路时的定时要求。 (二)、实验要求 利用Quartus Ⅱ器件库提供的参数化存储单元,设计一个由128X8位的RAM和128X8位的ROM构成的存储器系统。请设计有关逻辑电路,要求仿真通过,并设计波形文件,验证该存储器系统的存储与读出。 (三)、实验原理图与仿真图 ram内所存储的数据: rom内所存储的数据: 仿真图如下: (四)心得体会 本次试验中,我们应该熟练掌握Quartus Ⅱ软件的使用方法;熟悉静态随机存储器RAM和只读存储器ROM的工作特性和使用方法;

计算机组成原理静态随机存储器实验

实验报告 一、实验名称 静态随机储存器实验 二、实验目的 掌握静态随机储存器RAM的工作特性和数据的读写方法 三、实验设备 TDN-CM++计算机组成原理教学实验系统一套,导线若干。 四、实验原理 实验所用的半导体静态存储器电路原理如图1所示,实验中的静态存储器由一片6116(2K×8)构成,其数据线接至数据总线,地址线由地址锁存器(74LS273)给出。地址灯ADO~AD7与地址线相连,显示地址线内容。数据开关经三态门(74LS245)连至数据总线,分时给出地址和数据。 因地址寄存器为8位,接入6116的地址A7--AO,而高三位A8—A1O接地,所以其实际容量为256字节。6116有三个控制线:CE(片选线)、0E(读线)、WE(写线)。当片选有效(CE=O)时,OE=O时进行读操作,WE=0时进行写操作。本实验中将0E常接地,因此6116的引脚信号WE=1时进行读操作,WE=0时进行写操作。 在此情况下,要对存储器进行读操作,必须设置控制端CE=O、WE=O,同时有T3脉冲到来,要对存储器进行写操作,必须设置控制端CE=O、WE=1,同时有T3脉冲到来,其读写时间与T3脉冲宽度一致。 实验时将T3脉冲接至实验板上时序电路模块的TS3相应插孔中,其脉冲宽度可调,其它电平控制信号由“SWITCH UNIT”单元的二进制开关模拟,其中SW-B为低电平有效,LDAR为高电平有效。

图1 存储器实验原理图 五、实验内容 1. 向存储器中指定的地址单元输入数据,地址先输入AR寄存器,在地址灯上显示;再将数据送入总线后,存到指定的存储单元,数据在数据显示灯和数码显示管显示。 2. 从存储器中指定的地址单元读出数据, 地址先输入AR寄存器,在地址灯显示; 读出的数据送入总线, 通过数据显示灯和数码显示管显示。 六、实验步骤 (1)将时序电路模块中的Φ和H23排针相连。 将时序电路模块中的二进制开关“STOP”设置为“RUN”状态、将“STEP”设置为"STEP"状态。 注意:关于stop和step的说明: 将“STOP”开关置为“Run"状态、“STEP”开关置为“EXEC”状态时,按动微动开关START,则T3输出为连续的方波信号,此时调节电位器W1,用示波器观察,使T3输出实验要求的脉冲信号。当“STOP”开关置为“RUN”状态、“STEP”开关置为"STEP"状态时,每按动一次微动开关START,则T3输出一个单脉冲,其脉冲宽度与连续方式相同。

计算机组成原理实验最新完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院__________ 专业班级计算机科学与技术2018级1班 学生姓名张子坡(1010101029) _ 指导教师_________ 郭玉峰_________________

撰写日期:二O—二年六月五日 一、实验目的: 1. 在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3 定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1. 复习计算机组成的基本原理; 2. 预习本实验的相关知识和内容 三、实验设备: EL-JY-II 型计算机组成原理实验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56 页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16 位,指令、地址和程序计数器均为8 位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8 位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。 为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序

执行,必须设计三个控制操作微程序。 存储器读操作(MRD :拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1 CA2为“ 00”时,按“单步”键,可对RAM 连续读操作。 存储器写操作(MW :拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1 CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN :拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1 CA2为“1T时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA s UA0 微地址 SA4 =1 SA 3 = I 7 P 1 SA2 = I 6 PI

计算机组成原理实验(接线、实验步骤)

实验一运算器 [实验目的] 1.掌握算术逻辑运算加、减、乘、与的工作原理; 2.熟悉简单运算器的数据传送通路; 3.验证实验台运算器的8位加、减、与、直通功能; 4.验证实验台4位乘4位功能。 [接线] 功能开关:DB=0 DZ=0 DP=1 IR/DBUS=DBUS 接线:LRW:GND(接地) IAR-BUS# 、M1、M2、RS-BUS#:接+5V 控制开关:K0:SW-BUS# K1:ALU-BUS K2:S0 K3:S1 K4:S2 K5:LDDR1 K6:LDDR2 [实验步骤] 一、(81)H与(82)H运算 1.K0=0:SW开关与数据总线接通 K1=0:ALU输出与数据总线断开 2.开电源,按CLR#复位 3.置数(81)H: 在SW7—SW0输入10000001→LDDR2=1,LDDR1=0→按QD:数据送DR2置数(82)H: 在SW7—SW0输入10000010→LDDR2=0,LDDR1=1→按QD:数据送DR1 4.K0=1:SW开关与数据总线断开 K1=1:ALU输出与数据总线接通 5. S2S1S0=010:运算器做加法 (观察结果在显示灯的显示与进位结果C的显示) 6.改变S2S1S0的值,对同一组数做不同的运算,观察显示灯的结果。 二、乘法、减法、直通等运算 1.K0K1=00 2.按CLR#复位 3.分别给DR1和DR2置数 4.K0K1=11 5. S2S1S0取不同的值,执行不同的运算 [思考] M1、M2控制信号的作用是什么? 运算器运算类型选择表 选择操作 S2 S1 S0 0 0 0 A&B 0 0 1 A&A(直通) 0 1 0 A+B 0 1 1 A-B 1 0 0 A(低位)ΧB(低位)

计算机组成原理实验报告实验2.3.2 通用寄存器实验

实验一通用寄存器实验 一、实验目的 1.熟悉通用寄存器的数据通路。 2.掌握通用寄存器的构成和运用。 二、实验要求 在掌握了AX、BX运算寄存器的读写操作后,继续完成CX、DX通用寄存器的数据写入与读出。 三、实验原理 实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成CX(R1 R0)、DX(R3 R2)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通选择。RXW为寄存器数据写入使能,OP、DI为目的寄存器选择。DRCK信号为寄存器写脉冲,下降沿有效。准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。 图2-3-3通用寄存器数据通路

四、实验内容 2.寄存器的读写操作 1)目的通路 当RXW=0时,由DI、OP编码产生目的寄存器地址,详见下表。 表2.3.5通用寄存器“手动/搭接”目的编码 2)CX、DX寄存器的写入 通过“I/O输入输出单元”向CX、DX分别置数1122h、3344h,操作步骤如下: K10~K6=10000 K18~K16=000 K18~K16=010 3)源通路 当X2 X1 X0=0 0 1时,由SI、XP编码产生源寄存器,详见下表。 表2.3.6通用寄存器“手动/搭接”源编码

1 0 0 DX 字读 1 0 1 DL(R2)偶字节读 1 1 X DH(R2)奇字节读 4) CX 、DX 寄存器的读出 读CX 数据来源 REG 数据总线 显示CX 值 读DX 数据总线 显示DX 值

五、实验心得 本次试验中我对实验设备Dais-CMX16+有了初步的认识。由于第一次使用这个试验箱,对界面和操作还不是很熟悉,按照指导书上的步骤和要求完成了实验。但对实验的内部原理的各部件的操作和设置还不是很理解。

计算机组成原理实验报告(4个)

上海建桥学院 本科实验报告 课程名称:计算机组成原理 学号: 姓名: 专业: 班级: 指导教师: 课内实验目录及成绩 序号实验名称页码成绩 1 八位算术逻辑运算 1 2 静态随机存取存储器实验6 3 数据通路11 4 微程序控制器的实现16 总成绩 信息技术学院 2014年03 月20 日

上海建桥学院实验报告 课程名称:计算机组成原理实验类型:验证型 实验项目名称:八位算术逻辑运算 实验地点:实验日期:年月日 一、实验目的和要求 1、掌握运算器的基本组成结构; 2、掌握运算器的工作原理。 二、实验原理和内容 实验采用的运算器数据通路如图1-1所示,ALU逻辑功能表如表1-1所示。 图1-1运算器原理图

ALU部件由一片 CPLD实现,内部含有三个独立的运算部件,分别为算术、逻辑和移位运算部件。输入数据IN[7..0](由插座JP22引出)通过拨动开关sK7..sK0产生(开关由插座JP97引出)。数据存于暂存器A或暂存器 B中(暂存器A和B的数据可在 LED灯上实时显示),三个部件可同时接受来自暂存器 A和 B的数据。各部件对操作数进行何种运算由控制信号S3…S0和CN_I来决定(S3…S0由插座JP18引出;CN_I由插座JP19引出),可通过拨动开关sK23..sK20和sK12设置(开关由插座JP89、JP19引出)。运算结果由三选一多路开关选择,任何时候,多路开关只选择三个部件中的一个部件的运算结果作为ALU的输出。ALU的输出ALU_D7..ALU_D0通过三态门74LS245送至CPU内部数据总线(iDBus)上(由插座JP25引出),并通过扩展区单元的的二位数码管和DS94..DS101LED灯显示(LED灯由插座JP62引出)。如果运算影响进位标志FC、零标志FZ、正负标志FS,则在T3状态的下降沿,相应状态分别锁存到FC、FZ、FS触发器中,实验仪设有LED灯显示各标志位状态。 操作控制信号wA(允许写暂存器A)、wB(允许写暂存器B)、rALU(允许ALU结果输出到内部数据总线(iDBus)上)由JP19引出,都为低电平有效,实验时可通过连接开关sK15..sK13设置(开关由插座JP92引出)。 实验所需的时序信号T1、T2、T3,以及复位信号nRST均已与控制台(CON)相连。 表1-1 ALU逻辑功能表 运算类型S3 S2 S1 S0 CN_I 功能 逻辑运算0000 0 F = A (直通) 1 F = B (直通) 0001 X F = A + B (或)(FZ)0010 X F = A * B (与)(FZ)0011 X F=A⊕B (异或)(FZ)0100 X F=/A (取反)(FZ) 移位运算0101 F=A 不带进位循环右移 移位位数由暂存器B的低3位决定 (FZ) 1 F=A 算术右移一位(FZ)0110 0 F=A 逻辑右移一位(FZ) 1 F=A 带进位循环右移一位(FC,FZ)0111 0 F=A 逻辑左移一位(FZ) 1 F=A 带进位循环左移一位(FC,FZ) 算术运算1000 0 F=A+B (FC,FZ,FS) 1 F=A+B+FC (FC,FZ,FS)1001 0 F=A-B (FC,FZ,FS) 1 F=A-B-FC (FC,FZ,FS)1010 0 F=A+1 (FZ) 1 F= NEG A (取补) (FZ)1011 X F=A-1 (FZ) 其它1100 X 置FC=CN_I (FC)1101 X 置EI=CN_I (EI) 1110 (保留) 1111 (保留) * 表中:功能栏内的FC、FZ、FS表示当前运算会影响到该标志(状态信息显示发生在T3下降沿);

相关主题
文本预览
相关文档 最新文档