当前位置:文档之家› 第5讲 8086_8088微处理器引脚功能、总线结构和时序

第5讲 8086_8088微处理器引脚功能、总线结构和时序

数字电路与微处理器基础实验

数字电路与微处理器基础实验 实验1 单片机开发系统的使用 一.实验目的: 1. 熟悉实验环境。 2. 熟练掌握程序编写、调试、下载和运行的基本方法。 3. 掌握单片机I/O端口的控制和简单应用技术。 4. 掌握移位和软件延时程序的编写和应用。 二.实验设备: 1. PC机一台; 2. 51单片机实验装置一套。 三.实验内容: 1. 利用单片机及8个发光二极管等器件,制作一个单片机控制的流水灯系统。单片机的P3.0—P3.7接8个发光二极管,运行程序,则单片机控制8个发光二极管进行流水灯操作,流水灯从左到右依次点亮,反复显示。发光二极管的闪烁时间由延时函数控制。(流水灯编号从左到右依次为L1—L8) 2. 利用单片机及8个发光二极管等器件,制作一个单片机控制的发光二极管显示系统。单片机的P 3.0—P3.7接8个发光二极管,运行程序,则单片机控制高四位和第四位的发光二极管交替显示。 3. 利用单片机及8个发光二极管等器件,制作一个单片机控制的发光二极管显示系统。单片机的P3.0—P3.7接8个发光二极管,运行程序,则单片机控制奇数位和偶数位的发光二极管交替显示。 4. 选作题:利用单片机及8个发光二极管等器件,制作一个单片机控制的流水灯系统。单片机的P3.0—P3.7接8个发光二极管,运行程序,则单片机控制8个发光二极管进行流水灯操作,流水灯从右到左依次点亮,反复显示。 5. 选作题:按照下图改变流水灯的方式,编程实现。或者按照自己的设计,改变流水灯的方式,并编程实现。

四.实验报告要求: 1.写明实验名称,实验目的; 2.列出实验仪器名称、型号; 3.简述实验原理,画出本实验相关的电路原理图; 4.编程序清单(注释语句),调试结果及说明; 5. 实验分析和实验体会。 6. 注意实验报告格式,独立完成,避免雷同; 7. A4纸排版,左侧装订。并认真填写实验报告封皮。

微处理器系统与嵌入式系统1—7章最全答案合集

“微处理器系统原理与嵌入式系统设计”第一章习题解答 1.1 什么是程序存储式计算机? 程序存储式计算机指采用存储程序原理工作的计算机。 存储程序原理又称“·诺依曼原理”,其核心思想包括: ●程序由指令组成,并和数据一起存放在存储器中; ●计算机启动后,能自动地按照程序指令的逻辑顺序逐条把指令从存储器中 读出来,自动完成由程序所描述的处理工作。 1.2 通用计算机的几个主要部件是什么? ●主机(CPU、主板、存); ●外设(硬盘/光驱、显示器/显卡、键盘/鼠标、声卡/音箱); 1.3 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.4 阐述摩尔定律。 每18个月,芯片的晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 讨论:摩尔定律有什么限制,可以使用哪些方式克服这些限制?摩尔定律还会持续多久?在摩尔定律之后电路将如何演化? 摩尔定律不能逾越的四个鸿沟:基本大小的限制、散热、电流泄露、热噪。具体问题如:晶体管体积继续缩小的物理极限,高主频导致的高温…… 解决办法:采用纳米材料、变相材料等取代硅、光学互联、3D、加速器技术、多核…… (为了降低功耗与制造成本,深度集成仍是目前半导体行业努力的方向,但这不可能永无止,因为工艺再先进也不可能将半导体做的比原子更小。用作绝缘材料的二氧化硅,已逼近极限,如继续缩小将导致漏电、散热等物理瓶颈,数量集成趋势终有终结的一天。一旦芯片上线条宽度达到纳米数量级时,相当于只有几个分子的大小,这种情况下材料的物理、化学性能将发生质的变化,致使采用现行工艺的半导体器件不能正常工作,摩尔定律也就要走到它的尽头了。业界专家预计,芯片性能的增长速度将在今后几年趋缓,一般认为摩尔定律能再适用10年左右,其制约的因素一是技术,二是经济。)

微机原理课后习题答案第二章微处理器和指令系统习题答案

2.9如果GDT寄存器值为0013000000FFH,装人LDTR的选择符为0040H,试问装人描述符高速缓存的LDT描述符的起始地址是多少? 解:GDT寄存器的高32位和低16位分别为GDT的基址和段限,所以:GDT的基址=00130000H LDTR选择符的高13位D15~D3=000000001000B是该LDT描述符在GDT中的序号,所以: LDT描述符的起始地址= GDT的基址 十LDT描述符相对于GDT基址的偏移值 =00130000H+8×8=00130040H 2.10假定80486工作在实模式下,(DS)=1000H, (SS)=2000H, ( SI ) = El07FH, ( BX )=0040H, (BP) = 0016H,变量TABLE的偏移地址为0100H。请间下列指令的源操作数字段是什么寻址方式?它的有效地址(EA)和物理地址(PA)分别是多少? (1)MOV AX,[1234H ] (2) MOV AX, TABLE (3) MOV AX,[BX+100H] (4) MOV AX,TABLE[BPI[SI] 解:(1)直接寻址,EA=1234H , PA =(DS)×16+EA=11234H。 (2)直接寻址,EA= O100H,PA= (DS)×16+EA=10100H。 (3)基址寻址,EA=( EBX)+100H =0140H,PA= (DS) × 16+EA=10140H。 (4)带位移的荃址加变址寻址。(EA)= (BP)+[SI]十TABLE的偏移地址=0195H PA=(SS)×16+EA=20195H} 2.11下列指令的源操作数字段是什么寻址方式? (1)MOV EAX , EBX (2)MOV EAX,[ ECX] [EBX ] (3) MOV EAX,[ESI][EDX * 2] (4)MOV EAx,[ ESI*8] 解:(1)寄存器寻址。 (2)基址加变址寻址。 (3)基址加比例变址寻址。 (4)比例变址寻址。 2.12分别指出下列指令中源操作和目的操作数的寻址方式。 式表示出EA和PA。 (1)MOV SI,2100H (2)MOV CX, DISP[BX] (3) MOV [SI] ,AX (4)ADC AX,[BX][SI] (5)AND AX,DX (6) MOV AX,[BX+10H] (7) MOV AX,ES:[BX] (8) MOV Ax, [BX+SI+20H] (9) MOV [BP ].CX (10) PUSH DS 解:(1) 源操作数是立即数寻址;目的操作数是寄存器寻址。 (2)源操作数是基址寻址,EA=(BX)+DISP,PA=(DS)×16+(BX)+DISP 目的操作数是寄存器寻址。 (3)源操作数是寄存器寻址; 目的操作数是寄存器间接寻址,EA=(SI).PA=(DS) × 16十(SI)。 (4)操作数是基址加变址寻址,EA= (BX)+(SI).PA= (DS) × 16十(BX)+(SI) 目的操作数是寄存器寻址。 (5)源操作数和目的操作数均为寄存器寻址。 (6)源操作数是基址寻址,EA=(BX)+10H.PA= (DS) × 16十(BX)+10H 目的操作数是寄存器寻址。 (7)源操作数是寄存器间接寻.EA= (Bx).PA= (ES) × 16+(BX)

微处理器系统结构与嵌入式系统设计(第2版) 第3章答案

“微处理器系统原理与嵌入式系统设计”第三章习题解答 3.1处理器有哪些功能?说明实现这些功能各需要哪些部件,并画出处理器的基本结构图。 处理器的基本功能包括数据的存储、数据的运算和控制等功能。其有5个主要功能:①指令控制②操作控制③时间控制④数据加工⑤中断处理。其中,数据加工由ALU 、移位器和寄存器等数据通路部件完成,其他功能由控制器实现。处理器的基本结构图如下: 寄存器组 控制器 整数单元 浮点单元 数据通路 处理器数据传送 到内存数据来自内存数据传送到内存指令来自内存 3.2处理器内部有哪些基本操作?这些基本操作各包含哪些微操作? 处理器基本操作有:取指令、分析指令、执行指令。 取指令:当程序已在存储器中时,首先根据程序入口地址取出一条程序,为此要发出指令地址及控制信号。 分析指令:对当前取得的指令进行分析,指出它要求什么操作,并产生相应的操作控制命令。 执行指令:根据分析指令时产生的“操作命令”形成相应的操作控制信号序列,通过运算器、存储器及输入/输出设备的执行,实现每条指令的功能,其中包括对运算结果的处理以及下条指令地址的形成。 3.3什么是冯·诺伊曼计算机结构的主要技术瓶颈?如何克服? 冯·诺伊曼计算机结构的主要技术瓶颈是数据传输和指令串行执行。可以通过以下方案克服:采用哈佛体系结构、存储器分层结构、高速缓存和虚拟存储器、指令流水线、超标量等方法。

3.5指令系统的设计会影响计算机系统的哪些性能? 指令系统是指一台计算机所能执行的全部指令的集合,其决定了一台计算机硬件主要性能和基本功能。指令系统一般都包括以下几大类指令。:1)数据传送类指令。(2)运算类指令 包括算术运算指令和逻辑运算指令。(3)程序控制类指令 主要用于控制程序的流向。 (4)输入/输出类指令 简称I/O 指令,这类指令用于主机与外设之间交换信息。 因而,其设计会影响到计算机系统如下性能: 数据传送、算术运算和逻辑运算、程序控制、输入/输出。另外,其还会影响到运算速度以及兼容等。 3.9某时钟速率为2.5GHz 的流水式处理器执行一个有150万条指令的程序。流水线有5段,并以每时钟周期1条的速率发射指令。不考虑分支指令和乱序执行带来的性能损失。 a)同样执行这个程序,该处理器比非流水式处理器可能加速多少? b)此流水式处理器是吞吐量是多少(以MIPS 为单位)? a.=51p T nm S T m n =≈+-串流水 速度几乎是非流水线结构的5倍。 b.2500M IPS p n T T =≈流水 3.10一个时钟频率为2.5 GHz 的非流水式处理器,其平均CPI 是4。此处理器的升级版本引入了5级流水。然而,由于如锁存延迟这样的流水线内部延迟,使新版处理器的时钟频率必须降低到2 GHz 。 (1) 对一典型程序,新版所实现的加速比是多少? (2) 新、旧两版处理器的MIPS 各是多少? (1)对于一个有N 条指令的程序来说: 非流水式处理器的总执行时间s N N T 990 106.1)105.2/()4(-?=??= 5级流水处理器的总执行时间s N N T 991 10)4(2)102/()15(-?+=?-+= 加速比=42.310 +=N N T T ,N 很大时加速比≈3.2 (2)非流水式处理器CPI=4,则其执行速度=2500MHz/4=625MIPS 。 5级流水处理器CPI=1,则其执行速度=2000 MHz /1=2000 MIPS 。 3.11随机逻辑体系结构的处理器的特点是什么?详细说明各部件的作用。 随机逻辑的特点是指令集设计与硬件的逻辑设计紧密相关,通过针对特定指令集进行

微控制器原理及应用答案

微控制器原理及应用答案 【篇一:单片机原理及应用课后完整答案】 txt>第一章 1. 为什么计算机要采用二进制数?学习十六进制数的目的是什么? 在计算机中,由于所采用的电子逻辑器件仅能存储和识别两种状态 的特点,计算机内部一切信息存储、处理和传送均采用二进制数的 形式。可以说,二进制数是计算机硬件能直接识别并进行处理的惟 一形式。十六进制数可以简化表示二进制数。 2. (1) 01111001 79h (2) 0.11 0.ch (3) 01111001.11 79.ch (4) 11101010.101 0ea.ah (5)01100001 61h (6) 00110001 31h 3. (1) 0b3h 4. (1)01000001b65 (2) 110101111b 431 (3)11110001.11b 241.75 (4)10000011111010b 8442 5. (1) 00100100 00100100 00100100(2) 10100100 11011011 11011100(3)1111 1111 1000 00001000 0001 (4)10000000 110000000 10000000 (5) 10000001 11111110 11111111(6)100101110 111010010111010011 6. 00100101b 00110111bcd 25h 7. 137 11989 8.什么是总线?总线主要有哪几部分组成?各部分的作用是什么?总线是连接计算机各部件之间的一组公共的信号线。一般情况下, 可分为系统总线和外总线。 系统总线应包括:地址总线(ab)控制总线(cb)数据总线(db)地址总线(ab):cpu根据指令的功能需要访问某一存储器单元或外 部设备时,其地址信息由地址总线输出,然后经地址译码单元处理。地址总线为16位时,可寻址范围为216=64k,地址总线的位数决定 了所寻址存储器容量或外设数量的范围。在任一时刻,地址总线上 的地址信息是惟一对应某一存储单元或外部设备。

微控制器原理期末复习题整理

苏州大学微控制器原理期末复习 一、选择题( 20 X 1’ ) 1.以下芯片系列中,没有单片机的系列是( ) A. PIC系列 B. X86系列 C. AVR系列 D. ARM系列 2.微控制器是指( ) A.微处理器 B.微型计算机 C.单板机 D.单片机 3.在ARM 技术定义的AMBA(Advanced Microcontroller Bus Architecture ) 总线规范中,定义了用于高性能系统模块连接的( )总线。 A. ASB B. APB C. BB (Bus Bridge) D. AHB 4.在 ARM 技术定义的AMBA (Advanced Microcontroller Bus Architecture )总线规范中定义了用于较低性能外设简单连接的( )总线。 A.ASB B.APB C.BB(Bus Bridge) D.AHB 5.AMBA (Advanced Microcontroller Bus Architecture )是()标准。 A.片上总线 B.控制总线 C.外设总线 D.系统总线 6.ARM Cortex-M0+处理器中的 LR(R14)是( ) A.通用寄存器 B.程序计数寄存器 C.连接寄存器 D.特殊功能寄存器 7. ARM Cortex-MO+处理器中的 PC(R15)是( ) A.通用宵存器 B.程序计数寄存器 C.连接寄存器 D.特殊功能寄存器 8.基本存储单元由()个连续的二进制位构成, A.8 B.16 C. 32 D. 64 9.ARM Cortex-MO+处理器中共有()个通用寄存器? A.15 B. 14 C. 13 D. 12 10.ARM Cortex-MO+处理器中,寄存器SP(R13)的最低( )位永远为0。 A. 1 B. 2 C.3 D.4 11.ARM Cortex-MO+处理器中,APSR用于反映ALU的运算结果的某些特 征,共有()个标志位。 A. 1 B. 2 C.3 D.4 12.KL25的UART模块不支持()位数据模式 A. 7 B. 8 C.9 D. 10 13.M CU各个模块作为中断源引起的中断称为(). A.内核中断 B.异常中断 C.可屏蔽中断 D.不可屏蔽中断 14.在CPU停机方式的DMA操作中,CPU与总线的关系是( ) A.只能控制数据总线 B.只能控制地址总线 C.处于隔离状态 D.能传送所有控制信号 15.CPU在中断响应过程中的何种措施是为了能正确地实现中断返回( )

哈尔滨工业大学微处理器原理与应用实验报告

微处理器原理与应用 实验报告 姓名:王烁行 同组人:张绍文、马文佳、孙蓦征 学号:1080520406 班级:0805204 指导教师:赵彬 院系:电子信息工程

1 实验一简单I/O口扩展实验(一) 交通灯控制实验 1.1实验要求 扩展实验箱上的74LS273作为输出口,控制八个发光二极管燃灭,模拟交通灯管理。 1.2实验目的 1.学习在单片机系统中扩展简单I/O接口的方法 2.学习数据输出程序的设计方法 3.学习模拟交通灯控制的实现方法 1.3实验原理 本实验需要用到实验箱上八个发光二极管中的六个,即红、黄、绿各两个。不妨将L1、L3、L5作为东西方向的指示灯,将L2、L4、L6作为南北方向的指示灯。而交通灯的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 各发光二极管共阳极,阴极接有与非门,因此使其点亮应使相应输入端为高电平。1.4 实验内容(包括实验电路和程序流程图) 按指导书搭接电路,调试程序并运行。

图1实验电路图 图2实验流程图

1.5 实验结果 实验现象与既定目标相符:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 1.6 实验结果讨论分析 实验中发现交通灯在黄灯和红绿灯切换的时候有抖动和延时,初步估计是单片机定时系统不稳定以及程序的延时冗余没有添加所导致。 1.7 实验程序代码 PORT EQU 0CFA0H ;片选地址CS0 ORG 0000H LJMP BEGIN ORG 4100H BEGIN: MOV A,#03H ;1、2亮,其余灭 ACALL SHOW ;调用273显示单元 ACALL T03 ;延时3秒 EW: MOV A,#12H ;东西导通;南北截止 ACALL SHOW ACALL T10 ;延时10秒 MOV A,#02H ;东西截止;南北截止 ACALL SHOW SNBY: MOV A,#04H ;3亮,其余灭 ACALL SHOW ;调用273显示单元 ACALL T02 ;延时2秒 MOV A,#00H ; ACALL SHOW ACALL T02 ;延时2秒 MOV A,#04H ;3亮 ACALL SHOW ACALL T02 ;延时2秒 MOV A,#00H ;灭 ACALL SHOW ACALL T02 ;2秒 MOV A,#04H ;3亮 ACALL SHOW

南京大学微处理器和嵌入式系统复习

微处理器和嵌入式系统 1.简述Bootloader的作用,列举三种主流的Bootloader的名称和特点。 作用:引导装载程序,初始化内粗配置器,初始化串行端口,对后续内核加载引导执行主流:U-Boot,Redboot,vivi 特点:适用于不同的架构平台 2.什么是交叉编译环境?为什么要采用交叉编译环境? 一台pc机上建立一套编译环境,此环境是为了编译另一种架构的代码; 原因:目标的硬件平台与当前的不一样的CPU架构,指令集不兼容 3.Mount命令有哪些作用?举例说明。 1)挂载网络文件系统 2)挂载本地大容量存储设备(原生作用),硬盘/软盘/U盘分区 4.列举Linux根文件系统的目录树结构。写出三个目录的作用。 5.什么是Frame buffer设备?Frame buffer有哪些参数?如何在Frame buffer设备上显示 一个红色的像素点? 定义:帧缓冲设备,将设备映射到内存空间,将内存映射的操作映射到用户空间 参数:分辨率,色深,显示器色位 画红点: 6.NFS服务在嵌入式开发中的作用。 局域网里实验网络文件/目录的共享 7.Tftp服务在嵌入式开发中的作用。 轻量级的文件传输服务,内核文件系统下载 主机端:建立tftp端软件,配置下载目录 开发板、目标板端:利用bootloader,tftp命令下载主机端内核文件系统

8.Minicon 软件在嵌入式开发中的作用。 实现主机与开发板的串口通信, 9.Linux内核配置编译的基本步骤。 10.回答嵌入式系统的定义,列举其特点。 定义:以应用为中心,以计算机技术为基础,软件硬件可裁剪,适应系统对功能、可靠性、成本、体积、功耗严格要求的计算机系统。 特点:系统内核小、专用性强、系统精简、高性能的实时操作系统软件、使用多任务的操作系统 11.嵌入式系统处理器分为哪几类?主流嵌入式微处理器内核有哪些? 分类:嵌入式微控制器、嵌入式DSP处理器、嵌入式微处理器、嵌入式片上系统 内核:ARM、MIPS、Power Pc、x86、68k 12.ARM处理器与X86处理器最大的区别是什么? 1)架构:ARM精简指令集(RISC),x86复杂指令集(CISC) 2)其他:ARM 功耗极低,主板安全性更高 13.嵌入式系统软件测试技术有哪些? 黑盒测试、白盒测试、目标环境测试、宿主环境测试 14.嵌入式系统可靠性参数如何计算? 1)MTBF=缺陷率×每秒执行的千行代码数目 2)MTTR=软件故障检测到之后的重新启动时间的平均值 3)有效性A=MTBF MTBF+MTTR 15.Linux内核有哪5大功能? 进程管理、内存管理、文件管理、设备控制、网络功能 16.设备驱动程序的基本作用。 主要作用在于提供机制而非策略,主要解决需要提供什么功能的问题,可以看做应用和实际设备的一个软件层。

《微处理器原理与接口》课案

单片机课程设计密码锁

1.设计内容及意义.........................................................................2. 2.整体设计原理及方案 (2) 3.硬件电路图 (3) 4.程序设计流程图 (6) 5.实验结果及数据 (7) 6.问题及心得 (7) 7.完整程序 (8)

1.设计内容及意义 1.1设计内容 ①密码通过键盘输入,若密码正确,则将锁打开。②报警、锁定键盘功能。密码输入错误数码显示器会出现错误提示,若密码输入错误次数超过 3 次锁定键盘。电子密码锁的设计主要由三部分组成:4×4 矩阵键盘接口电路、密码锁的控制电路、输出八段显示电路。另外系统还有LED 提示灯。密码锁设计的关键问题是实现密码的输入、清除、更改、开锁等功能:①密码输入功能:按下一个数字键,一个“-”就显示在最右边的数码管上,同时将先前输入的所有“-”向左移动一位。②密码清除功能:当按下清除键时,清除前面输入的所有值,并清除所有显示。③开锁功能:当按下开锁键,系统将输入与密码进行检查核对,如果正确锁打开,否则不打开。 1.2 设计意义 随着经济的发展,人们对日常生活质量的要求也越来越高,从工作、学习、出行、购物等的各个方面,人们也对现代安全设施提出来更高的要求。在安全技术防范领域,具有防盗报警功能的电子密码锁逐渐代替传统,克服了机械式密码锁密码量少、安全性能差的缺点,使密码锁无论在技术上还是在性能上都大大提高一步。密码锁具有安全性高、成本低、功耗低、易操作等优点,同时还引入了智能化管理、专家分析系统等功能,从而使密码锁具有很高的安全性、可靠性,应用日益广泛。 2.整体设计原理及方案 2.1 整体设计原理 本设计主要由单片机、4*4矩阵键盘、LED和密码存储等部分组成。其中矩阵键盘用于输入数字密码和进行各种功能的实现。由用户通过连接单片机的矩阵键盘输入密码,后经过单片机对用户输入的密码与自己保存的密码进行比较,从而判断密码是否正确,然后控制引脚的高低电平传到开锁电路或者报警电路控制开锁还是报警。 2.2 整体设计方案 在单片机的外围电路外接输入键盘用于密码的输入和一些功能的控制,外接LED数码管用于显示作用,连线时LED1接P1.1,LED2接P1.2,LED3接P1.3。当用户需要开锁时,先按键盘的数字键任意输入密码,密码输完后按下确认键,若输入正确则开门;不正确

微处理器和微控制器处理

评语:将英文和中文都分别整理到一起即可再发给我。翻译可以过关了。 Microprocessors and Microcontrollers Chapter Outline Introduction Microprocessors and Microcontrollers The 280 and the 8051 A Microcontroller Survey Development Systems for Microcontrollers Summary 微处理器和微控制器 章节纲要 1、介绍 2、微处理器、微控制器 3、Z80和8501 4、一个单片机调查 5、开发系统的控制器 6、摘要 The past two decades have seen the introduction of a technology that has radically changed the way in which we analyze and control the world around us. Born of parallel developments in computer architecture and integrated circuit fabrication. the microprocessor. or "computer on a chip," first became a commercial reality in 1971 with the introduction of the 4-bit 4004 by a small. unknown company by the name of Intel Corporation. Other. more well-established, semiconductor firms soon followed Intel's pioneering technology so that by the late 1970s one could choose from a half dozen or so microprocessor types. The 1970s also saw the growth of the number of personal computer users from a handful of hobbyists and "hackers" to millions of business. industrial. governmental. defense. educational. and private users now enjoying the advantages of inexpensive computing. A by-product of microprocessor development was the microcontroller. The same fabrication techniques and programming concepts that make possible the general-purpose microprocessor also yielded the microcontroller. Microcontrollers are not as well known to the general public, or even the technical community, as are the more glamorous microprocessors. The public is. however. very well aware that "something" is responsible for all of the smart VCRs. clock radios. Washers and dryers. video games. telephones. microwaves. TVs. automobiles, toys. vending machines, copiers. elevators. irons. and a myriad of other articles that have suddenly become intelligent and "programmable . " Companies are also aware that being competitive in this age of the microchip requires their products. or the machinery they use to make those products. to have some "smarts." The purpose of this chapter is to introduce the concept of a microcontroller and survey a representative group. The remainder of the book will study one of the most popular types, the 8051. in detail. 过去二十年来,我们已经发现一个技术的引进,已经从根本上改变了我们分析和控制周围世界的方式。计算机体系结构和集成电路制造的并行发展,微处理器或“计算机芯片”的诞生最初成为商业现实是在1971年,伴随着当时规模很小,并不知名的英特尔公司发布的一款4bit 处理器——4004。此后,其他当时更具有规模和实力的半导体公司很快跟随着英特尔公司的开创性技

微控制器和微处理器的区别2

微控制器和微处理器的区别 中央处理器是一块超大规模的集成电路,是一台计算机的运算核心和控制核心,它的功能主要是解释计算机指令以及处理计算机软件中的数据。主要包括运算器和高速缓冲存储器及实现它们之间联系的数据、控制及状态的总线。它与内部存储器和输入/输出设备合称为电子计算机三大核心部件。 目前,嵌入式处理器的高端产品有:Advanced RISC Machines公司的ARM、Silicon Graphics公司的MIPS、IBM和Motorola的Power PC 、Intel的X86和i960芯片、AMD的Am386EM、Hitachi的SH RISC芯片。 微处理器和微控制器区别所在 微处理器和微控制器的区别,这样的区别主要集中在硬件结构、应用领域和指令集特征三个方面: 其一,硬件结构。微处理器是一个单芯片CPU,而微控制器则在一块集成电路芯片中集成了CPU和其他电路,构成了一个完整的微型计算机系统。除了CPU,微控制器还包括RAM、ROM、一个串行接口、一个并行接口,计时器和中断调度电路。虽然片上RAM的容量比普通微型计算机系统还要小,但是这并未限制微控制器的使用。在后面可以了解到,微控制器的应用范围非常广泛。其中,微控制器的一个重要的特征是内建的中断系统。作为面向控制的设备,微控制器经常要实时响应外界的激励。 其二,应用领域。微处理器通常作为微型计算机系统中的CPU使用,其设计正是针对这样的应用,这也是微处理器的优势所在。然而,微控制器通常用于面向控制的应用,系统设计追求小型化,尽可能减少元器件数量。在过去,这些应用通常需要用数十个甚至数百个数字集成电路来实现。使用微控制器可以减少元器件的使用数量,只需一个微控制器、少量的外部元件和存储在ROM中的控制程序就能够实现同样的功能。微控制器适用于那些以极少的元件实现对输入/输出设备进行控制的场合,而微处理器适用于计算机系统中进行信息处理。 其三,指令集特征。由于应用场合不同,微控制器和微处理器的指令集也有所不同。微处理器的指令集增强了处理功能,使其拥有强大的寻址模式和适于操作大规模数据的指令。微处理器的指令可以对半字节、字节、字,甚至双字进行操作。通过使用地址指针和地址偏移,微处理器提供了可以访问大批数据的寻址模式。自增和自减模式使得以字节、字或双字为单位访问数据变得非常容易。另外,微处理器还具有其他的特点,如用户程序中无法使用特权指令等。 微控制器的指令集适用于输入/输出控制。许多输入/输出的接口是单/位的。如,电磁铁控制着马达的开关,而电磁铁由一个1位的输出端口控制。微控制器具有设置和 1

微处理器和指令系统

微处理器和指令系统 一、选择题 1、微型计算机中的运算器,将运算结果的一些特征标志寄存在(FR)中。 2、微处理器内部的控制器是由(ALU与寄存器)组成。 3. 8086微处理器内部能够计算出访问内存储器的20位物理地址的附加机构是(加法器)。 4. 若有两个带有符号数ABH和FFH相加,其结果使FR中CF和OF 位为(1和0)。 5. 逻辑地址是(允许在程序中编排的)地址。 6.字符串操作时目标串逻辑地址只能由(ES、DI)提供。 5. 8086微处理器中的ES是(附加段)寄存器。 6.8086处理器最小工作方式和最大工作方式的主要差别是(单处理器和多处理器的不同)。 7.8086微处理器内部通用寄存器中的指针类寄存器是(AX)。8.8086/8088微处理器引脚中(B)信号线能够反映标志寄存器中断允许标志位下的当前值。A. 7S B.5S C. 4S D. 6S 9.若进行加法运算的两个操作数为ABH和8BH,其结果使得CF和OF 为(1和l)。 10.欲使RESET有效, 只要(接通电源或按RESET键)即可。11.8086微处理器内部具有自动增1功能的寄存器是(IP)。12.最大方式下8086系统送8288总线控制器的状态信号为( A )。

A. 2S 、1S 、0S B. R /DT C .0SS D. DEN 13.8086/8088微处理器状态信号共有( 5)条。 14.编程人员不能直接读写的寄存器是(IP )。 15. 16位带有符号数的补码数据范围为( +32767~-32768)。 16.8086/8088微处理器可访问内存储器地址为(00000H ~FFFFFH )。 17.当标志寄存器FR 中OF 位等于1时,表示带有符号的字运算超出数据(–32768~32767)范围。 18.8086/8088微处理器CLK 引脚输入时钟信号是由(8284)提供的。 19.8086微处理器引脚2S 1S 0S 处于(001)状态时,表明读I/O 端口。 20.8086/8088微处理器中的段寄存器中的CS 作为段基值,偏移量允许使用(IP )。 21.8086微处理器以BP 内容作为偏移量,则段基值是由(SS )寄存器提供。 22.8086/8088微处理器中的寄存器可以用做8 位或16位寄存器,如( D )。 A. SI B .SP C. IP D. CX 23.在最小方式下,原8l86微处理器最大方式下2S 、1S 、0S 引脚应改为( C )。 A. M/IO 、DEN 、DT/R B .DT/R 、M/IO 、DEN C. M/IO 、DT/R 、DEN D. DEN 、M/IO 、DT/R 24.访问I/O 端口可用地址线有(16)条. 25.最大方式下引脚25号和24号分别为0QS 和1QS ,若工作于最小

dsp、单片机以及嵌入式微处理器区别

DSP 、单片机以及嵌入式微处理器都是嵌入式家族的一员。最大区别是DSP 能够高速、实时地进行数字信号处理运算。数字信号处理运算的特点是乘/加及反复相乘 求和(乘积累加)。为了能快速地进行数字信号处理的运算,(1)DSP设置了硬件乘法/累加器,(2)能在单个指令周期内完成乘/加运算。(3)为满足FFT、卷积等数字信号处理的特殊要求,目前DSP大多在指令系统中设置了“循环寻址”及“位倒序”寻址指令和其他特殊指令,使得寻址、排序的速度大大提高。DSP完成1024复点FFT的运算,所需时间仅为微秒量级。 高速数据的传输能力是DSP高速实时处理的关键之一。新型的DSP设置了单独的DMA总线及其控制器,在不影响或基本不影响DSP处理速度的情况下,作并行的数据传送,传送速率可达每秒百兆字节。DSP内部有流水线,它在指令并行、功能单元并行、多总线、时钟频率提高等方面不断创新和改进。因此,DSP与单片机、嵌入式微处理器相比,在内部功能单元并行、多DSP核并行、速度快、功耗小、完成各种DSP算法方面尤为突出。 单片机也称微控制器或嵌入式控制器,它是为中、低成本控制领域而设计和开发的。单片机的位控能力强,I/O接口种类繁多,片内外设和控制功能丰富、价格低、使用方便,但与DSP相比,处理速度较慢。DSP具有的高速并行结构及指令、多总线,单片机却没有。DSP处理的算法的复杂度和大的数据处理流量更是单片机不可企及的。嵌入式微处理器的基础是通用计算机中的CPU(微处理器)。是嵌入式系统的核心。为满足嵌入式应用的特殊要求,嵌入式微处理器虽然在功能上和标准微处理器基本是一样的,但在工作温度、抗电磁干扰、可靠性等方面一般都做了各种增强。与工业控制计算机相比,嵌入式微处理器具有体积小、质量轻、成本低、可靠性高的优点,但是在电路板上必须包括ROM、RAM、总线接口、各种外设等器件,从而降低了系统的可靠性,技术保密性也较差。在应用设计中,嵌入式微处理器及其存储器、总线、外设等安装在专门设计的一块电路板上,只保留和嵌入式应用有关的母板功能,可大幅度减小系统的体积和功耗。目前,较流行的是基于ARM7、ARM9系列内核的嵌入式微处理器。 嵌入式微处理器与DSP的一个很大区别,就是嵌入式处理器的地址线要比DSP 的数目多,所能扩展的存储器空间要比DSP的存储器空间大的多,所以可配置实时多任务操作系统(RTOS)。RTOS是针对不同处理器优化设计的高效率、可靠性和可信性很高的实时多任务内核,它将CPU时间、中断、I/O、定时器等资源都包装起来,留给用户一个标准的应用程序接口(API),并根据各个任务的优先级,合理地在不同任务之间分配CPU时间。RTOS是嵌入式应用软件的基础和开发平台。常用的RTOS:Linux(为几百KB)和VxWorks(几MB)。 由于嵌入式实时多任务操作系统具有的高度灵活性,可很容易地对它进行定制或作适当开发,来满足实际应用需要。例如,移动计算平台、信息家电(机顶盒、数字电视)、媒体手机、工业控制和商业领域(例如,智能工控设备、ATM机等)、电子商务平台,甚至军事应用,吸引力巨大。所以,目前嵌入式微处理器的应用是继单片机、DSP之后的又一大应用热门。但是,由于嵌入式微处理器通常不能高效地完成许多基本的数字处理运算,例如,乘法累加、矢量旋转、三角函数等。它的 体系结构对特殊类型的数据结构只能提供通用的寻址操作,而DSP则有专门的简捷寻址机构和辅助硬件来快速完成。所以嵌入式微处理器不适合高速、实时的数字信号处理运算。而更适合“嵌入”到系统中,完成高速的“通用”计算与复杂

微处理器原理与接口技术(含答案)

一、选择题(每小题2分,共20分) 1、 8086属于( )位微处理器。 A 、4 B 、8 C 、16 D 、32 2、 以下8086标志位中,属于状态标志的是( )。 A 、CF B 、DF C 、IF D 、TF 3、 8086最大可访问的I/O 空间为( ) A 、1K B B 、64KB C 、256KB D 、1MB 4、 8086系统中常采用8282芯片作为( )。 A 、数据驱动器 B 、时钟发生器 C 、总线控制器 D 、地址锁存器 5、 8086每次入栈操作后,堆栈指针寄存器SP 都( )。 A 、加1 B 、加2 C 、减1 D 、减2 6、 以下80C51内部RAM 地址中,可按位来寻址的是( )。 A 、00H B 、08H C 、18H D 、28H 7、 下列指令中可对80C51片外RAM 进行写操作的是( )。 A 、MOV @R1, A B 、MOV A ,@R1 C 、MOVX @R1,A D 、MOVX A ,@R1 8、 对80C51而言,若(A )=FFH ,则执行INC A 指令后,进位标志C 将( )。 A 、清零 B 、置1 C 、翻转 D 、不变 9、 以下80C51指令中存在语法错误的是( )。 A 、CPL A B 、CPL C C 、CPL P1 D 、CPL P1.0 10、 DS18B20数字温度传感器采用的是( )接口。 A 、UART B 、SPI C 、I 2 C D 、1-Wire 二、填空题(每空2分,共20分) 1、 与访问内存储器不同,8086访问I/O 接口使用___________指令。 2、 对8086而言,若(AH )=10,(AL )=100,则(AX )=_________。 3、 8086 MX MN /引脚的功能是_______________________________。 4、 8086系统中逻辑地址1234H:FFFFH 对应的物理地址为_________________。 5、 8086一个总线周期最多可访问_____位内存数据。 6、 80C51复位时程序入口地址为___________。 7、 若希望将80C51 A 累加器中数据入栈保存,应使用指令____________。 8、 80C51 TMOD 寄存器中_______位设为1时,定时/计数器的启动受i T R 位和i INT 引脚共同控制。 9、 直流电机常采用H 桥驱动电路并通过__________方式进行调速。 10、单片机应用系统中有时用到固态继电器,其作用是_____________________________________。

微处理器系统结构与嵌入式系统设计(第2版) 第5章答案

5.10 用16K×1位的DRAM芯片组成64K×8位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS, CPU在1μS内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? (1)组建存储器共需DRAM芯片数N=(64K*8)/(16K*1)=4*8(片)。 每8片组成16K×8位的存储区,A13~A0作为片内地址,用A15、A14经2:4译码器产生片选信号,逻辑框图如下(图有误:应该每组8片,每片数据线为1根) (2)设16K×8位存储芯片的阵列结构为128行×128列,刷新周期为2ms。因为刷新每行需0.5μS,则两次(行)刷新的最大时间间隔应小于: 为保证在每个1μS内都留出0.5μS给CPU访问内存,因此该DRAM适合采用分散式或异步式刷新方式,而不能采用集中式刷新方式。 ●若采用分散刷新方式,则每个存储器读/写周期可视为1μS,前0.5μS用于读写,后 0.5μS用于刷新。相当于每1μS刷新一行,刷完一遍需要128×1μS=128μS,满足刷新周期小于2ms的要求; ●若采用异步刷新方式,则应保证两次刷新的时间间隔小于15.5μS。如每隔14个读写周期刷新一行,相当于每15μS刷新一行,刷完一遍需要128×15μS=1920μS,满足刷新周期小于2ms的要求; 需要补充的知识: 刷新周期:从上一次对整个存储器刷新结束到下一次对整个存储器全部刷新一遍为止的时间间隔。刷新周期通常可以是2ms,4ms或8ms。 DRAM一般是按行刷新,常用的刷新方式包括: ●集中式:正常读/写操作与刷新操作分开进行,刷新集中完成。

相关主题
文本预览
相关文档 最新文档