当前位置:文档之家› 组成原理十套卷+答案(有详细步骤)

组成原理十套卷+答案(有详细步骤)

组成原理十套卷+答案(有详细步骤)
组成原理十套卷+答案(有详细步骤)

本科生期末试卷(一)

一、选择题(每小题1分,共15分)

1从器件角度看,计算机经历了五代变化。但从系统结构看,至今绝大多数计算机仍属于( B )计算机。

A 并行

B 冯·诺依曼

C 智能

D 串行

考查:常识

2某机字长32位,其中1位表示符号位。若用定点整数表示,则最小负整数为( A )。

A -(231-1)

B -(230-1)

C -(231+1)

D -(230+1)

考查:32位定点整数表示范围

3以下有关运算器的描述,( C )是正确的。

A 只做加法运算

B 只做算术运算

C 算术运算与逻辑运算

D 只做逻辑运算

考查:运算器的功能

4 EEPROM是指( D )。

A 读写存储器

B 只读存储器

C 闪速存储器

D 电擦除可编程只读存储器

考查:EEPROM

5常用的虚拟存储系统由( B )两级存储器组成,其中辅存是大容量的磁表面存储器。

A cache-主存

B 主存-辅存

C cache-辅存

D 通用寄存器

-cache

考查:虚拟存储系统两级结构

6 RISC访内指令中,操作数的物理位置一般安排在( D )。

A 栈顶和次栈顶

B 两个主存单元

C 一个主存单元和一个通用寄存器

D 两个通用寄存器

考查:RISC指令和CISC指令

7当前的CPU由( B )组成。

A 控制器

B 控制器、运算器、cache

C 运算器、主存

D 控制器、ALU、主存

考查:CPU组成

8流水CPU是由一系列叫做“段”的处理部件组成。和具备m个并行部件的CPU相比,一个m段流水CPU的吞吐能力是( A )。

A 具备同等水平

B 不具备同等水平

C 小于前者

D 大于前者

考查:流水CPU

9在集中式总线仲裁中,( A )方式响应时间最快。

A 独立请求

B 计数器定时查询

C 菊花链

考查:集中式总线仲裁

10 CPU中跟踪指令后继地址的寄存器是( C )。

A 地址寄存器

B 指令计数器

C 程序计数器

D 指令寄存器

考查:程序计数器

11从信息流的传输速度来看,( A )系统工作效率最低。

A 单总线

B 双总线

C 三总线

D 多总线

考查:总线结构

12单级中断系统中,CPU一旦响应中断,立即关闭( C )标志,以防止本次中断服务结束前同级的其他中断源产生另一次中断进行干扰。

A 中断允许

B 中断请求

C 中断屏蔽

D DMA请求

考查:中断过程

13安腾处理机的典型指令格式为( C )位。

A 32位

B 64位

C 41位

D 48位

考查:安腾处理机

14下面操作中应该由特权指令完成的是( B )。

A 设置定时器的初值

B 从用户模式切换到管理员模式

C 开定时器中断

D 关中断

考查:特权指令

15下列各项中,不属于安腾体系结构基本特征的是( D )。

A 超长指令字

B 显式并行指令计算

C 推断执行

D 超线程

考查:安腾体系结构

二、填空题(每小题2分,共20分)

1字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的( ASCII )码。

2按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值( e )加上一个固定的偏移值( 127 )。

3双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(时间)并行技术,后者采用(空间)并行技术。

4虚拟存储器分为页式、(段)式、(段页)式三种。

5安腾指令格式采用5个字段:除了操作码(OP)字段和推断字段外,还有3个7位的(地址码)字段,它们用于指定(寄存器)2个源操作数和1

个目标操作数的地址。

6 CPU从内存取出一条指令并执行该指令的时间称为(指令周期),它常用若干个( CPU周期)来表示。

7安腾CPU中的主要寄存器除了128个通用寄存器、128个浮点寄存器、128个应用寄存器、1个指令指针寄存器(即程序计数器)外,还有64个(1位推断寄存器)和8个( 64位分支寄存器)。

8衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是( MB/s )。

9 DMA控制器按其结构,分为(选择型)DMA控制器和(多路型)DMA控制器。前者适用于高速设备,后者适用于慢速设备。

10 64位处理机的两种典型体系结构是(Intel64体系结构)和(安腾体系结构)。前者保持了与IA-32的完全兼容,后者则是一种全新的体系结构。

三、简答题(每小题8分,共16分)

1 CPU中有哪几类主要寄存器,用一句话回答其功能。

答:A.数据缓冲寄存器(DR)B.指令寄存器(IR)C.程序计算器(PC)D.数据地址

寄存器(AR)E.通用寄存器(R0~R3)F.状态字寄存器(PSW)

功能:执行指令、操作、时间的控制以及数据加工。

2指令和数据都用二进制代码存放在内存中,从时空观角度回答CPU如何区分读出的代码是指令还是数据。

答:计算机可以从时间和空间两方面来区分指令和数据,在时间上,取指周期从内存中取出的是指令,而执行周期从内存取出或往内存中写入的是数据,在空间上,从内存中取出指令送控制器,而执行周期从内存中取出的数据送运算器

四、计算题(10分)

设x=-15,y=+13,数据用补码表示,用带求补器的阵列乘法器求出乘积x×y,并用十进制数乘法进行验证。

五、证明题(12分)

用定量分析方法证明多模块交叉存储器带宽大于顺序存储器带宽。证明:假设(1)存储器模块字长=数据总线宽度

(2)模块存取一个字的存储周期=T

(3)总线传送周期为t

(4)交叉存储器的交叉模块为m

P88

交叉存储器为了实现流水线方式存储,即通过t时间延迟

T=mt ( 1 )

六、设计题(15分)

某计算机有下图所示的功能部件,其中M为主存,指令和数据均存放在其中,MDR为主存数据寄存器,MAR为主存地址寄存器,R0~R3为通用寄存器,IR为指令寄存器,PC为程序计数器(具有自动加1功能),C、D为暂存寄存器,ALU 为算术逻辑单元,移位器可左移、右移、直通传送。

⑴将所有功能部件连接起来,组成完整的数据通路,并用单向或双向箭头表示信息传送方向。

⑵画出“ADD R1,(R2)”指令周期流程图。该指令的含义是将R1中的数与(R2)指示的主存单元中的数相加,相加的结果直通传送至R1中。

⑶若另外增加一个指令存贮器,修改数据通路,画出⑵的指令周期流程图。

(1)

(2)

M->MDR->IR,PC+1

测试

R1->MDR

M->MDR>-C

七、分析计算题(12分)

如果一条指令的执行过程分为取指令、指令译码、指令执行三个子过程,每个子过程时间都为100ns 。

⑴请分别画出指令顺序执行和流水执行方式的时空图。 ⑵计算两种情况下执行n=1000条指令所需的时间。 ⑶流水方式比顺序方式执行指令的速度提高了几倍? P170

(1)

M->MDR->D

C+D->R1

PC->MAR

本科生期末试卷(二)

一、选择题(每小题1分,共15分)

1冯·诺依曼机工作的基本方式的特点是( B )。

A 多指令流单数据流

B 按地址访问并顺序执行指令

C 堆栈操作

D 存贮器按内容选择地址

2在机器数( BC )中,零的表示形式是唯一的。

A 原码

B 补码

C 移码

D 反码

3在定点二进制运算器中,减法运算一般通过( D )来实现。

A 原码运算的二进制减法器

B 补码运算的二进制减法器

C 原码运算的十进制加法器

D 补码运算的二进制加法器

4某计算机字长32位,其存储容量为256MB,若按单字编址,它的寻址范围是( D )。

A 0—64M

B B 0—32MB

C 0—32M

D 0—64M

5主存贮器和CPU之间增加cache的目的是( A )。

A 解决CPU和主存之间的速度匹配问题

B 扩大主存贮器容量

C 扩大CPU中通用寄存器的数量

D 既扩大主存贮器容量,又扩大CPU中通用寄存器的数量

6单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用( C )。

A 堆栈寻址方式

B 立即寻址方式

C 隐含寻址方式

D 间接寻址方式

7同步控制是( C )。

A 只适用于CPU控制的方式

B 只适用于外围设备控制的方式

C 由统一时序信号控制的方式

D 所有指令执行时间都相同的方式

8描述PCI总线中基本概念不正确的句子是( CD )。

A PCI总线是一个与处理器无关的高速外围设备

B PCI总线的基本传输机制是猝发式传送

C PCI设备一定是主设备

D 系统中只允许有一条PCI总线

9 CRT的分辨率为1024×1024像素,像素的颜色数为256,则刷新存储器的容量为( B )。

A 512K

B B 1MB

C 256KB

D 2MB

256=2的8次方,8位=1B,1024*1024*1B=1MB

10为了便于实现多级中断,保存现场信息最有效的办法是采用( B )。

A 通用寄存器

B 堆栈

C 存储器

D 外存

11特权指令是由( C )执行的机器指令。

A 中断程序

B 用户程序

C 操作系统核心程序

D I/O程序

12虚拟存储技术主要解决存储器的( B )问题。

A 速度

B 扩大存储容量

C 成本

D 前三者兼顾

13引入多道程序的目的在于( A )。

A 充分利用CPU,减少等待CPU时间

B 提高实时响应速度

C 有利于代码共享,减少主辅存信息交换量

D 充分利用存储器

14 64位双核安腾处理机采用了( A )技术。

A 流水

B 时间并行

C 资源重复

D 流水+资源重复

15在安腾处理机中,控制推测技术主要用于解决( B )问题。

A 中断服务

B 与取数指令有关的控制相关

C 与转移指令有关的控制相关

D 与存数指令有关的控制相关

二、填空题(每小题2分,共20分)

1在计算机术语中,将ALU控制器和(内)存储器合在一起称为(主机)。

2数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。

3广泛使用的( SRAM )和(DRAM )都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。

4反映主存速度指标的三个术语是存取时间、(存储器带宽)和(存储周期)。

5形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。

6 CPU从(内存)取出一条指令并执行这条指令的时间和称为(指令周期)。

7 RISC指令系统的最大特点是:只有(取数)指令和(存数)指令访问存储器,其余指令的操作均在寄存器之间进行。

8微型机的标准总线,从带宽132MB/S的32位(字长)总线发展到64

位的(指令)总线。

9 IA-32表示( Intel )公司的( 32 )位处理机体系结构。

10安腾体系机构采用显示并行指令计算技术,在指令中设计了(属性)字段,用以指明哪些指令可以(并行)执行。

三、简答题(每小题8分,共16分)

1简述64位安腾处理机的体系结构主要特点。

1 显式并行指令计算技术

2 超长指令字技术

3 分支推断技术

4 推测技术

5 软件流水技术

6 寄存器堆栈技术

2画出分布式仲裁器的逻辑示意图。

四、计算题(10分)

已知x=-0.01111,y=+0.11001,求:

① [x]

补,[-x]

,[y]

,[-y]

② x+y,x-y,判断加减运算是否溢出。

五、分析题(12分)

参见图1,这是一个二维中断系统,请问:

①在中断情况下,CPU和设备的优先级如何考虑?请按降序排列各设备的中断优先级。

②若CPU现执行设备C的中断服务程序,IM

2,IM

1

,IM

的状态是什么?如

果CPU执行设备H的中断服务程序,IM

2,IM

1

,IM

的状态又是什么?

③每一级的IM能否对某个优先级的个别设备单独进行屏蔽?如果不能,采取什么方法可达到目的?

④若设备C一提出中断请求,CPU立即进行响应,如何调整才能满足此要求?

解:

(1)在中断情况下,CPU的优先级最低。各设备优先级次序是:A-B-C-D-E-F-G-H-I-CPU (2)执行设备B的中断服务程序时IM0IM1IM2=111;执行设备D的中断服务程序时IM0IM1IM2=011。

(3)每一级的IM标志不能对某优先级的个别设备进行单独屏蔽。可将接口中的BI(中断允许)标志清“0”,它禁止设备发出中断请求。

(4)要使C的中断请求及时得到响应,可将C从第二级取出,单独放在第三级上,使第三级的优先级最高,即令IM3=0即可。

六、设计题(15分)

图2所示为双总线结构机器的数据通路,IR为指令寄存器,PC为程序计数器(具有自增功能),M为主存(受R/W#信号控制),AR为地址寄存器,DR为

数据缓冲寄存器,ALU由加、减控制信号决定完成何种操作,控制信号G控制的是一个门电路。另外,线上标注有小圈表示有控制信号,例中y

i

表示y寄存器

的输入控制信号,R

1o 为寄存器R

1

的输出控制信号,未标字符的线为直通线,不

受控制。

①“ADD R2,R0”指令完成(R

0)+(R

2

)→R

的功能操作,画出其指令周期流

程图,假设该指令的地址已放入PC中。并在流程图每一个CPU周期右边列出相应的微操作控制信号序列。

②若将(取指周期)缩短为一个CPU周期,请先画出修改数据通路,然后画出指令周期流程图。

七、分析题(12分)

设有k=4段指令流水线,它们是取指令、译码、执行、存结果,各流水段持续时间均为Δt。

①连续输入n=8条指令,请画出指令流水线时空图。

②推导流水线实际吞吐率的公式P,它定义为单位时间中输出的指令数。

③推导流水线的加速比公式S,它定义为顺序执行几条指令所用的时间与流水执行几条指令所用的时间之比。

本科生期末试卷(三)一、选择题(每小题1分,共15分)

1下列数中最小的数是( C )。

A (101001)

2 B (52)

8

C (101001)

BCD

D (233)

16

2某DRAM芯片,其存储容量为512×8位,该芯片的地址线和数据线的数目是( D )。

A 8,512

B 512,8

C 18,8

D 19,8

512=2的9次方,K=2的10次方,题目中有错误,应该是512K*8位

3在下面描述的汇编语言基本概念中,不正确的表述是( D )。

A 对程序员的训练要求来说,需要硬件知识

B 汇编语言对机器的依赖性高

C 用汇编语言编写程序的难度比高级语言小

D 汇编语言编写的程序执行速度比高级语言慢

4交叉存储器实质上是一种多模块存储器,它用( A )方式执行多个独立的读写操作。

A 流水

B 资源重复

C 顺序

D 资源共享

5寄存器间接寻址方式中,操作数在( B )。

A 通用寄存器

B 主存单元

C 程序计数器

D 堆栈

6机器指令与微指令之间的关系是( A )。

A 用若干条微指令实现一条机器指令

B 用若干条机器指令实现一条微指令

C 用一条微指令实现一条机器指令

D 用一条机器指令实现一条微指令

7描述多媒体CPU基本概念中,不正确的是( CD )。

计算机组成原理课后答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操 作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。 CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,主要由运算器和控制器组成。 PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数

计算机组成原理试题及答案

2. (2000)10化成十六进制数是______。 A.(7CD)16 B.(7D0)16 C.(7E0)16 D.(7F0)16 3. 下列数中最大的数是______。 A.(10011001)2 B.(227)8 C.(98)16 D.(152)10 4. ______表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是______。 A. BCD码 B. 16进制 C. 格雷码 D. ASCⅡ码 6. 下列有关运算器的描述中,______是正确的。 A.只做算术运算,不做逻辑运算 B. 只做加法 C.能暂时存放运算结果 D. 既做算术运算,又做逻辑运算 7. EPROM是指______。 A. 读写存储器 B. 只读存储器 C. 可编程的只读存储器 D. 光擦除可编程的只读存储器 8. Intel80486是32位微处理器,Pentium是______位微处理器。 A.16B.32C.48D.64 9. 设[X]补=1.x1x2x3x4,当满足______时,X > -1/2成立。 A.x1必须为1,x2x3x4至少有一个为1 B.x1必须为1,x2x3x4任意 C.x1必须为0,x2x3x4至少有一个为1 D.x1必须为0,x2x3x4任意 10. CPU主要包括______。 A.控制器 B.控制器、运算器、cache C.运算器和主存 D.控制器、ALU和主存 11. 信息只用一条传输线,且采用脉冲传输的方式称为______。 A.串行传输 B.并行传输 C.并串行传输 D.分时传输 12. 以下四种类型指令中,执行时间最长的是______。 A. RR型 B. RS型 C. SS型 D.程序控制指令 13. 下列______属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D.文本处理 14. 在主存和CPU之间增加cache存储器的目的是______。 A. 增加内存容量 B. 提高内存可靠性 C. 解决CPU和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。 A. SRAM B. 闪速存储器 C. cache D.辅助存储器 16. 设变址寄存器为X,形式地址为D,(X)表示寄存器X的内容,这种寻址方式的有效地址为______。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为______。 1

计算机组成原理_第四版课后习题答案(完整版)[]

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的;数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。两者主要区别见 P1 表 1.1 。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。 3.数字计算机有那些主要应用?(略) 4.冯 . 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯 . 诺依曼型计算机的主要设计思想是:存储程序和程序控制。存储程序:将解题的程序(指令序列)存放到存储器中;程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB MB GB来度量,存储 容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。单元地址:单元地址简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的信息即为数据信息。

计算机组成原理作业答案

计算机组成原理作业答案

第二章习题 2.设机器字长为8位(含一位字符位在内),写出对应下列各真值的原码、反码和补码:-1011010B,-87,168 解: 反码:正数的反码与原码相同;负数的反码符号位不变,数值部分各位取反。 补码:正数的反码与原码相同;负数的补码符号位不变,数值部分各位取反后再加1。 由于8位有符号的数表示范围:-128~127,所以168溢出。 4.已知X=-66,Y=99。设机器字长为8位,用补码运算规则计算X+Y 和X-Y。 解:[X]原=1,100 0010 [X]补=1,011 1110 [Y]原=0,110 0011 [Y]补=0,110 0011 [-Y]补=1,001 1101 [X+Y]补=[X]补+[Y]补=1,011 1110 + 0,110 0011=00,010 0001 [X-Y]补=[X]补+[-Y]补=1,011 1110 + 1,001 1101=10,101 1011(溢出) 5.已知X=19,Y=35,用布思算法计算X?Y和X?(-Y)。 解: 无符号数乘法的规则:Q0=1:A<-A+M,然后C,A,Q右移 Q0=0:C,A,Q直接右移 其中,C为进位标识符;辅助寄存器A的初始值为0;乘积的高n位存放在辅助寄存器A中,乘积的低n位存放在寄存器Q中;移位时C 标识符用0扩充。 [X]原=010011 [Y]原=100011 ??

有符号数乘法的规则:Q0Q-1=01:A<-A+M,A,Q,Q-1算术右移 Q0Q-1=10:A<-A-M,A,Q,Q-1算术右移 Q0Q-1=00或11:A,Q,Q-1算术右移 其中,辅助寄存器A,Q-1的初始值为0;乘积的高n位存放在辅助寄存器A中,乘积的低n位存放在寄存器Q中;移位使用算术移位,高位采用符合扩展。 [X]补=0,010011 [-X]补=1,101101 [-Y]补=1,011101 X??

组成原理课后答案分析

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要?解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。

4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地 址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。 6. 画出计算机硬件组成框图,说明各部件的作用及计算机系统的主要技术指标。答:计算机硬件组成框图如下:

计算机组成原理 试卷含答案

湖南师范大学2012—2013学年第一学期信息与计算科学专业2011年级期末/ 补考/重修课程 计算机组成原理考核试题 出卷人:毛禄广 课程代码:考核方式: 考试时量:分钟试卷类型:A/B/C/D 一、单选题(30分,每题2分) 1. 算术逻辑单元的简称为()B A、CPU。 B、ALU。 C、CU。 D、MAR。 2. EPROM是指()D A.读写存储器 B.只读存储器 C.闪速存储器 D.可擦除可编程只读存储器 3. 异步通信的应答方式不包括()D A、不互锁 B、半互锁 C、全互锁 D、以上都不包括 4. 三种集中式总线仲裁中,______方式对电路故障最敏感。A A、链式查询 B. 计数器定时查询 C. 独立请求D、以上都不正确 5. 下面说法正确的是:()B A、存储系统层次结构主要体现在缓存-主存层次上; B、缓存-主存层次主要解决CPU和主存速度不匹配的问题; C、主存和缓存之间的数据调动对程序员也是不透明的; D、主存和辅存之间的数据调动由硬件单独完成。 6. 动态RAM的刷新不包括( ) D A、集中刷新 B、分散刷新 C、异步刷新 D、同步刷新 7. 关于程序查询方式、中断方式、DMA方式说法错误的是()D A、程序查询方式使CPU和I/O设备处于串行工作状态,CPU工作效率不高; B、中断方式进一步提高了CPU的工作效率; C、三者中DMA方式中CPU的工作效率最高; D、以上都不正确。 第 1 页共5 页 8. 发生中断请求的条件不包括()D A.一条指令执行结束 B.一次I/O操作结束 C.机器内部发生故障 D.一次DMA操作结束 9. DMA的数据传送过程不包括()A A、初始化 B、预处理 C、数据传送 D、后处理 10. 下列数中最大的数为()B A.(10010101)2 B.(227)8 C.(96)8 D.(143)5 11. 设32位浮点数中,符号位为1位,阶码为8位,尾数位为23位,则它所能表示的最大规.格化正数为()B A +(2 – 2-23)×2+127B.[1+(1 – 2-23)]×2+127 C.+(2 – 223)×2+255D.2+127 -223 12. 定点运算中,现代计算机都采用_______做加减法运算。()B A、原码 B、补码 C、反码 D、移码 13._________中乘积的符号位在运算过程中自然形成。()C A、原码一位乘 B、原码两位乘 C、补码一位乘 D、以上都不是 14.设x为真值,x*为绝对值,则[-x*]补=[-x]补能否成立()C A、一定成立 B、不一定成立 C、不能成立 D、以上都不正确 15. 最少可以用几位二进制数即可表示任一5位长的十进制整数。()A A、17 B、16 C、15 D、18 二、填空题(共10分,每题1分) 1.总线控制分为判优控制和________。(通信控制) 2.总线通信常用四种方式________、异步通信、半同步通信、分离式通信。(同步通信) 3.按在计算机系统中的作用不同,存储器主要分为主存储器、辅助存储器、_________。(缓冲存 储器) 4.随机存取存储器按其存储信息的原理不同,可分为静态RAM和__________。(动态RAM) 5.I/O设备与主机交换信息的五种控制方式是程序查询方式、中断方式和、_________、I/O通道方 式和I/O处理机方式。(DMA方式) 6.程序查询方式中为了完成查询需要执行的三条指令分别为测试指令、________、转移指令。(传 送指令) 7.浮点数由阶码和________两部分组成。(尾数) 8.二进制数-0.1101的补码为__________。(10011) 9._______是补码一位乘中乘数符号为负时的方法。(校正法)

计算机组成原理试题及答案

二、填空题 1 字符信息是符号数据,属于处理(非数值)领域的问题,国际上采用的字符系统是七单位的(ASCII)码。P23 2 按IEEE754标准,一个32位浮点数由符号位S(1位)、阶码E(8位)、尾数M(23位)三个域组成。其中阶码E的值等于指数的真值(e)加上一个固定的偏移值(127)。P17 3 双端口存储器和多模块交叉存储器属于并行存储器结构,其中前者采用(空间)并行技术,后者采用(时间)并行技术。P86 4 衡量总线性能的重要指标是(总线带宽),它定义为总线本身所能达到的最高传输速率,单位是(MB/s)。P185 5 在计算机术语中,将ALU控制器和()存储器合在一起称为()。 6 数的真值变成机器码可采用原码表示法,反码表示法,(补码)表示法,(移码)表示法。P19-P21 7 广泛使用的(SRAM)和(DRAM)都是半导体随机读写存储器。前者的速度比后者快,但集成度不如后者高。P67 8 反映主存速度指标的三个术语是存取时间、(存储周期)和(存储器带宽)。P67 9 形成指令地址的方法称为指令寻址,通常是(顺序)寻址,遇到转移指令时(跳跃)寻址。P112 10 CPU从(主存中)取出一条指令并执行这条指令的时间和称为(指令周期)。 11 定点32位字长的字,采用2的补码形式表示时,一个字所能表示

的整数范围是(-2的31次方到2的31次方减1 )。P20 12 IEEE754标准规定的64位浮点数格式中,符号位为1位,阶码为11位,尾数为52位,则它能表示的最大规格化正数为(+[1+(1-2 )]×2 )。 13 浮点加、减法运算的步骤是(0操作处理)、(比较阶码大小并完成对阶)、(尾数进行加或减运算)、(结果规格化并进行舍入处理)、(溢出处理)。P54 14 某计算机字长32位,其存储容量为64MB,若按字编址,它的存储系统的地址线至少需要(14)条。64×1024KB=2048KB(寻址范32围)=2048×8(化为字的形式)=214 15一个组相联映射的Cache,有128块,每组4块,主存共有16384块,每块64个字,则主存地址共(20)位,其中主存字块标记应为(9)位,组地址应为(5)位,Cache地址共(13)位。 16 CPU存取出一条指令并执行该指令的时间叫(指令周期),它通常包含若干个(CPU周期),而后者又包含若干个(时钟周期)。P131 17 计算机系统的层次结构从下至上可分为五级,即微程序设计级(或逻辑电路级)、一般机器级、操作系统级、(汇编语言)级、(高级语言)级。P13 18十进制数在计算机内有两种表示形式:(字符串)形式和(压缩的十进制数串)形式。前者主要用在非数值计算的应用领域,后者用于直接完成十进制数的算术运算。P19 19一个定点数由符号位和数值域两部分组成。按小数点位置不同,

计算机组成原理试题(DOC)

计算机组成原理试卷1 一、选择题(共20分,每题1分) 1.CPU响应中断的时间是_ C _____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。 2.下列说法中___c___是正确的。 A.加法指令的执行周期一定要访存;B.加法指令的执行周期一定不访存; C.指令的地址码给出存储器地址的加法指令,在执行周期一定访存; D.指令的地址码给出存储器地址的加法指令,在执行周期不一定访存。 3.垂直型微指令的特点是___c___。 A.微指令格式垂直表示;B.控制信号经过编码产生; C.采用微操作码;D.采用微指令码。 4.基址寻址方式中,操作数的有效地址是___A___。 A.基址寄存器内容加上形式地址(位移量);B.程序计数器内容加上形式地址; C.变址寄存器内容加上形式地址;D.寄存器内容加上形式地址。 5.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 6.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。 7.在运算器中不包含___D___。 A.状态寄存器;B.数据总线;C.ALU;D.地址寄存器。 8.计算机操作的最小单位时间是__A____。 A.时钟周期;B.指令周期;C.CPU周期;D.中断周期。 9.用以指定待执行指令所在地址的是_C_____。 A.指令寄存器;B.数据计数器;C.程序计数器;pc D.累加器。 10.下列描述中____B__是正确的。 A.控制器能理解、解释并执行所有的指令及存储结果; B.一台计算机包括输入、输出、控制、存储及算逻运算五个单元; C.所有的数据运算都在CPU的控制器中完成; D.以上答案都正确。 11.总线通信中的同步控制是__B____。 A.只适合于CPU控制的方式;B.由统一时序控制的方式; C.只适合于外围设备控制的方式;D.只适合于主存。 12.一个16K×32位的存储器,其地址线和数据线的总和是B______。14+32=46 A.48;B.46;C.36;D.32。 13.某计算机字长是16位,它的存储容量是1MB,按字编址,它的寻址范围是A______。1mb/2b=1024kb/2b=512k A.512K;B.1M;C.512KB;D.1MB。 14.以下__B____是错误的。(输入输出4) A.中断服务程序可以是操作系统模块;B.中断向量就是中断服务程序的入口地址; C.中断向量法可以提高识别中断源的速度; D.软件查询法和硬件法都能找到中断服务程序的入口地址。 15.浮点数的表示范围和精度取决于__C____ 。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数; C.阶码的位数和尾数的位数;D.阶码的机器数形式和尾数的机器数形式。

计算机组成原理课后答案

… 第一章计算机系统概论 什么是计算机系统、计算机硬件和计算机软件硬件和软件哪个更重要 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 如何理解计算机系统的层次结构 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 》 说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 如何理解计算机组成和计算机体系结构 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 冯·诺依曼计算机的特点是什么 。 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X ' 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 解释概念 & 主机:计算机硬件的主体部分,由 CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成

计算机组成原理试题及答案

中国自考人——700门自考课程永久免费、完整在线学习快快加入我们吧! 全国2002年4月高等教育自学考试 计算机组成原理试题 课程代码:02318 一、单项选择题(本大题共15小题,每小题1分,共15分)在每小题列出的四个选项中只有一个选项是符 合题目要求的,请将正确选项前的字母填在题后的括号内。 1.若十进制数为,则相应的十六进制数为( )。 若x=1011,则[x]补=( )。 3.某机字长8位,含一位数符,采用原码表示,则定点小数所能表示的非零最小正数为( )。 4.设某浮点数共12位。其中阶码含1位阶符共4位,以2为底,初码表示;尾数含1位数符共8位,补码 表示,规格化。则该浮点数所能表示的最大正数是( )。 5.原码乘法是指( ) A.用原码表示乘数与被乘数,直接相乘 B.取操作数绝对值相乘,符号位单独处理 C.符号位连同绝对值一起相乘 D.取操作数绝对值相乘,乘积符号与乘数符号相同 6.一般来讲,直接映象常用在( ) A.小容量高速Cache B.大容量高速Cache C.小容量低速Cache D.大容量低速Cache 7.下列存储器中,( )速度最快。 A.硬盘 B.光盘 C.磁带 D.半导体存储器 8.采用直接寻址方式,则操作数在( )中。 A.主存 B.寄存器 C.直接存取存储器 D.光盘 9.零地址指令的操作数一般隐含在( )中。 A.磁盘 B.磁带 C.寄存器 D.光盘 10.微程序存放在( ) A.主存中 B.堆栈中 C.只读存储器中 D.磁盘中 11.在微程序控制方式中,机器指令和微指令的关系是( )。 A.每一条机器指令由一条微指令来解释执行 B.每一条机器指令由一段(或一个)微程序来解释执行 C.一段机器指令组成的工作程序可由一条微指令来解释执行 D.一条微指令由若干条机器指令组成 12.异步传送方式常用于( )中,作为主要控制方式。 A.微型机的CPU内部控制 B.硬连线控制器 C.微程序控制器 D.串行I/O总线 13.串行总线主要用于( )。 A.连接主机与外围设备 B.连接主存与CPU C.连接运算器与控制器 D.连接CPU内部各部件 14.在常用磁盘中,( )。 A.外圈磁道容量大于内圈磁道容量 B.各道容量不等 C.各磁道容量相同 D.内圈磁道容量大于外圈磁道容量 15.在下列存储器中,( )可以作为主存储器。 A.半导体存储器 B.硬盘 C.光盘 D.磁带 二、改错题(本大题共5小题,每小题2分,共10分)针对各小题的题意,改正其结论中的错误,或补充

计算机组成原理试册

计算机组成原理试册

————————————————————————————————作者:————————————————————————————————日期: 2

《计算机组成原理》练习册 习题一 1、比较数字计算机和模拟计算机的特点? 前者能够直接对模拟量信号进行加工处理,主要由处理连续信号的模拟电路组成; 后者能够直接对离散信号进行加工处理,主要由脉冲数字电路组成。 2、数字计算机如何分类?分类的依据是什么? 数字计算机可分为专用计算机和通用计算机,专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 3、数字计算机有哪些主要应用? 科学计算、自动控制、测量和测试、信息管理、教育和卫生、家用电器和人工智能。 4、冯·诺依曼型计算机的主要设计思想是什么?它包括那些主要组成部分? 计算机的硬件是由有形的电子器件等构成的,它包括运算器、存储器、控制器、适配器、输入输出设备。传统上将运算器和控制器成为CPU,而将CPU和存储器成为主机。 存储程序并按地址顺序执行,这就是冯·诺依曼型计算机的主要设计思想。 5、什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 存储器所有存储单元的总数成为存储器的存储容量;存储器中是由许多存储单元组 3

4 成的,每个存储单元都有编号,称为单元地址;如果某字代表要处理的数据,则称为数 据字;如果某字为一条指令,则称为指令字。 6、CPU 中有哪些主要寄存器? (1) 指令寄存器(IR ) (2) 程序计数器(PC ) (3) 地址寄存器(AR ) (4) 缓冲寄存器(DR ) (5) 累加寄存器(AC ) (6) 状态条件寄存器(PSW ) 7、说明软件发展的演变过程。 算法语言的变化:机器语言、汇编语言、高级语言 操作系统的变化:从最初的单一操作系统到目前的批处理操作系统、分时操作系统、 网络操作系统、实时操作系统。 8、说明计算机系统的层次结构。 计算机系统可分为:微程序机器级,一般机器级(或称机器语言级),操作系统级, 汇编语言级,高级语言级 5级 编译程序 4级 汇编程序 3级 操作程序 2级 微程序 1级 微程序直接由硬件执行 高级语言级 汇编语言级 操作系统级 一般机器级 微程序设计级

组成原理课后答案

require.async(['wkcommon:widget/ui/lib/sio/sio.js'], function(sio) { var url = 'https://https://www.doczj.com/doc/5f14167624.html,/cpro/ui/c.js'; sio.callByBrowser( url, function () { BAIDU_CLB_fillSlotAsync('u2845605','cpro_u2845605'); } ); }); void function(e,t){for(var n=t.getElementsByTagName("img"),a=+new Date,i=[],o=function(){this.removeEventListener&&this.removeEventListener("load",o,!1),i.push({ img:this,time:+new Date})},s=0;s< n.length;s++)!function(){var e=n[s];e.addEventListener?!https://www.doczj.com/doc/5f14167624.html,plete&&e.addEventListener("load",o,!1):e.attachEvent&&e.atta chEvent("onreadystatechange",function(){"complete"==e.readyState&&o.call(e,o)})}();alog("spee d.set",{fsItems:i,fs:a})}(window,document); var cpro_psid ="u2572954"; var cpro_pswidth =966; var cpro_psheight =120; 下载文档到电脑,查找使用更方便 3下载券 16人已下载 下载

组成原理作业答案

第一章 1.2 如何理解计算机系统的层次结构? 从计算机系统的层次结构来看,它通常可划分五个以上的层次,在每一层次(级)上都能进行程序设计。由下至上可排序为:微程序机器M0级(微指令系统),微指令由硬件直接执行; 传统机器M1(机器语言机器级),用微程序解释机器指令; 虚拟机器M2(操作系统机器级),用机器语言程序解释操作系统的作业控制语句; 虚拟机器M3(汇编语言机器级),用汇编程序翻译成机器语言程序; 虚拟机器M4(高级语言机器级),用编译程序翻译成汇编语言程序。 还可以有应用语言机器级,采用各种面向问题的应用语言。 1.4 如何理解计算机组成和计算机体系结构? 计算机体系结构是指程序员所见到的计算机系统的属性,即概念性的结构与功能特性。通常是指用机器语言编程的程序员(也包括汇编语言程序设计者和汇编程序设计者)所看到的传统机器的属性,包括指令集、数据类型、存储器寻址技术、I/O机理等,大都属于抽象的属性。 计算机组成是指如何实现计算机体系结构所体现的属性,它包含了许多对程序员来说是透明的(即程序员不知道的)硬件细节。 1.9 STA M指令信息流程 取指令:PC→MAR→M→MDR→IR 分析指令:OP(IR) →CU 执行指令:Ad(IR) →MAR→M,ACC→MDR→M ADD M指令信息流程 取指令:PC→MAR→M→MDR→IR 分析指令:OP(IR) →CU 执行指令:Ad(IR) →MAR→M→MDR→X,ACC→ALU,同时X→ALU, ALU→ACC

假设主存容量为256M*32位,在指令字长、存储字长、机器字长相等的条件下,指出图中各寄存器的位数. 256M*32即主存共有256M个存储单元,每个存储单元长为32位,即MDR寄存器为32位。 因256M=2^28,故MAR、PC寄存器为28位。 又因指令字长、存储字长、机器字长相等,故ACC、MQ、X、IR寄存器与MDR长度相同,均为32位。 第三章 4. 为什么要设置总线判优控制?常见的集中式总线控制有几种?各有何特点?哪种方式响应时间最快?哪种方式对电路故障最敏感? 解:总线判优控制解决多个部件同时申请总线时的使用权分配问题; 常见的集中式总线控制有三种:链式查询、计数器查询、独立请求; 特点:链式查询方式连线简单,易于扩充,对电路故障最敏感;计数器查询方式优先级设置较灵活,对故障不敏感,连线及控制过程较复杂;独立请求方式判优速度最快,但硬件器件用量大,连线多,成本较高。 14. 设总线的时钟频率为8MHz,一个总线周期等于一个时钟周期。如果一个总线周期中并行传送16位数据,试问总线的带宽是多少? 解: 总线宽度= 16位/8 =2B 总线带宽= 8MHz×2B =16MB/s 15. 在一个32位的总线系统中,总线的时钟频率为66MHz,假设总线最短传输周期为4个时钟周期,试计算总线的最大数据传输率。若想提高数据传输率,可采取什么措施? 解法1: 总线宽度=32位/8 =4B 时钟周期=1/ 66MHz =0.015μs 总线最短传输周期=0.015μs×4=0.06μs 总线最大数据传输率= 4B/0.06μs=66.67MB/s

计算机组成原理试题及答案

计算机组成原理试题及答案 一、选择题(每题3分,共36分) 1、下列数中最小的数是()。B A (1010010)2 B (00101000)BCD C (512)8D(235)16 2、某机字长16位,采用定点整数表示,符号位为1位,尾数为15位,则可表示的最大正整数为(),最小负整数为()。 A A +(215-1),-(215-1) B +(215-1),-(216-1) C +(214-1),-(215-1) D +(215-1), -(1-215) 3、运算器虽由许多部件组成,但核心部分是() B A 数据总线 B 算术逻辑运算单元 C 多路开关 D 累加寄存器 4、在定点运算器中,无论采用双符号位还是采用单符号位,都必须要有溢出判断电路,它一般用()来实现 C A 与非门 B 或非门 C 异或门 D 与或非门 5、立即寻址是指() B A 指令中直接给出操作数地址 B 指令中直接给出操作数 C 指令中间接给出操作数 D 指令中间接给出操作数地址 6、输入输出指令的功能是() C A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送 C 进行CPU与I/O设备之间的数据传送 D 改变程序执行的顺序 7、微程序控制器中,机器指令与微指令的关系是() D A 一段机器指令组成的程序可由一条微指令来执行 B 一条微指令由若干条机器指令组成 C 每一条机器指令由一条微指令来执行 D 每一条机器指令由一段用微指令编成的微程序来解释执行 8、相对指令流水线方案和多指令周期方案,单指令周期方案的资源利用率和性价比()A A 最低 B 居中 C 最高 D 都差不多 9、某一RAM芯片,其容量为1024×8位,除电源端和接地端外,连同片选和读/写信号该芯片引出腿的最小数目为() B A 23 B 20 C 17 D 19 10、在主存和CPU之间增加Cache的目的是()。 C A 扩大主存的容量 B 增加CPU中通用寄存器的数量 C 解决CPU和主存之间的速度匹配 D 代替CPU中寄存器工作 11、计算机系统的输入输出接口是()之间的交接界面。 B A CPU与存储器 B 主机与外围设备 C 存储器与外围设备 D CPU与系统总线 12、在采用DMA方式的I/O系统中,其基本思想是在()之间建立直接的数据通路。B A CPU与存储器 B 主机与外围设备 C 外设与外设 D CPU与主存 二、判断题(每题3分,共15分) 1、两个补码相加,只有在最高位都是1时有可能产生溢出。(×) 2、相对寻址方式中,操作数的有效地址等于程序计数器内容与偏移量之和(√) 3、指令是程序设计人员与计算机系统沟通的媒介,微指令是计算机指令和硬件电路建立联系的媒介。(√)

计算机组成原理试卷与答案

一、选择题 1.假定下列字符码中有奇偶校验位,但没有数据错误,采用偶校校 验的字符码是______。 A 11001011 B 11010110 C 11000001 D 11001001 2.8位定点字长的字,采用2的补码表示时,一个字所能表示的整 数范围是______。 A .–128 ~ +127 B. –127 ~ +127 C. –129 ~ +128 D.-128 ~ +128 3.下面浮点运算器的描述中正确的句子是:______。 a)浮点运算器可用阶码部件和尾数部件实现 b)阶码部件可实现加、减、乘、除四种运算 c)阶码部件只进行阶码相加、相减和比较操作 d)尾数部件只进行乘法和减法运算 4.某计算机字长16位,它的存贮容量是64KB,若按字编址,那 么它的寻址范围是______ A. 64K B. 32K C. 64KB D. 32 KB 5.双端口存储器在______情况下会发生读/写冲突。 a)左端口与右端口的地址码不同 b)左端口与右端口的地址码相同 c)左端口与右端口的数据码不同 d)左端口与右端口的数据码相同

6.寄存器间接寻址方式中,操作数处在______。 A. 通用寄存器 B. 主存单元 C. 程序计数器 D. 堆栈 7.微程序控制器中,机器指令与微指令的关系是______。 a)每一条机器指令由一条微指令来执行 b)每一条机器指令由一段微指令编写的微程序来解释执行 c)每一条机器指令组成的程序可由一条微指令来执行 d)一条微指令由若干条机器指令组 8.按其数据流的传递过程和控制节拍来看,阵列乘法器可认为是 ______。 a)全串行运算的乘法器 b)全并行运算的乘法器 c)串—并行运算的乘法器 d)并—串型运算的乘法器 9.由于CPU内部的操作速度较快,而CPU访问一次主存所花的 时间较长,因此机器周期通常用______来规定。 a)主存中读取一个指令字的最短时间 b)主存中读取一个数据字的最长时间 c)主存中写入一个数据字的平均时间 d)主存中读取一个数据字的平均时间 10.程序控制类指令的功能是______。 A 进行算术运算和逻辑运算 B 进行主存与CPU之间的数据传送

计算机组成原理试题及答案

《计算机组成原理》试题 一、(共30分) 1.(10分) (1)将十进制数+107/128化成二进制数、八进制数和十六进制数(3分) (2)请回答什么是二--十进制编码?什么是有权码、什么是无权码、各举一个你熟悉的有权码和无权码的例子?(7分) 2.已知X=0.1101,Y=-0.0101,用原码一位乘法计算X*Y=?要求写出计算过程。(10分) 3.说明海明码能实现检错纠错的基本原理?为什么能发现并改正一位错、也能发现二位错,校验位和数据位在位数上应满足什么条件?(5分) 4.举例说明运算器中的ALU通常可以提供的至少5种运算功能?运算器中使用多累加器的好处是什么?乘商寄存器的基本功能是什么?(5分) 二、(共30分) 1.在设计指令系统时,通常应从哪4个方面考虑?(每个2分,共8分) 2.简要说明减法指令SUB R3,R2和子程序调用指令的执行步骤(每个4分,共8分) 3.在微程序的控制器中,通常有哪5种得到下一条指令地址的方式。(第个2分,共10分) 4.简要地说明组合逻辑控制器应由哪几个功能部件组成?(4分) 三、(共22分) 1.静态存储器和动态存储器器件的特性有哪些主要区别?各自主要应用在什么地方?(7分) 2.CACHE有哪3种基本映象方式,各自的主要特点是什么?衡量高速缓冲存储器(CACHE)性能的最重要的指标是什么?(10分) 3.使用阵列磁盘的目的是什么?阵列磁盘中的RAID0、RAID1、RAID4、RAID5各有什么样的容错能力?(5分) 四、(共18分) 1.比较程序控制方式、程序中断方式、直接存储器访问方式,在完成输入/输出操作时的优缺点。(9分) 2.比较针式、喷墨式、激光3类打印机各自的优缺点和主要应用场所。(9分) 答案 一、(共30分) 1.(10分) (1) (+107/128)10 = (+1101011/10000000)2 = (+0.1101011)2 = (+0.153)8 = (+6B)16 (2) 二-十进制码即8421码,即4个基2码位的权从高到低分别为8、4、2、1,使用基码的0000,0001,0010,……,1001这十种组合分别表示0至9这十个值。4位基二码之间满足二进制的规则,而十进制数位之间则满足十进制规则。 1

计算机组成原理课后答案解析

第一章计算机系统概论 什么是计算机系统、计算机硬件和计算机软件硬件和软件哪个更重要 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 如何理解计算机系统的层次结构 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 如何理解计算机组成和计算机体系结构 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 冯·诺依曼计算机的特点是什么 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 解释概念 主机:计算机硬件的主体部分,由CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成 存储单元:可存放一个机器字并具有特定存储地址的存储单位 存储元件/存储基元/存储元:存储一位二进制信息的物理元件,是存储器中最小的存储单位,不能单独存取 存储字:一个存储单元所存二进制代码的逻辑单位 存储字长:一个存储单元所存二进制代码的位数

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

相关主题
文本预览
相关文档 最新文档