当前位置:文档之家› 89C51 CPU核及片上系统设计

89C51 CPU核及片上系统设计

89C51 CPU核及片上系统设计
89C51 CPU核及片上系统设计

湖州师范学院

课程设计总结报告课程名称工程项目设计训练

设计题目 8051/89C51 CPU核及片上系统设计

专业

班级

姓名

指导教师

报告成绩

《工程项目设计训练》任务书

一、设计任务

8051 IP软核应用系统构建

完成流水灯的设计与模式选择

二、设计要求

(1)了解8051/89C51单片机软核基本功能和结构,熟悉K8051单片机核信号端口功能;

(2)掌握K8051单片机软核实用系统构建和软件测试方法;

三、器件和设备清单

四、参考设计

(1) K8051单片机软核基本功能和结构

与前面介绍的CPU相同,K8051单片机核也含有8位复杂指令CPU,存储器采用哈佛结构,其结构框图如图所示。K8051的指令系统与8051/2、8031/2等完全兼容,硬件部分也基本相同,例如可接64KB外部存储器,可接256字节内部数据RAM,含两个16位定时/计数器,全双工串口,含节省功耗工作模式,中断响应结构等等。不同之处主要有:

1、K8051是以网表文件的方式存在的,只有通过编译综合,并载入FPGA中才以硬件的方式工作,而普通8051总是以硬件方式存在的;

2、K8051无内部ROM和RAM,所有程序ROM和内部RAM都必须外接。从K8051结构模块框图可见,它包含了“数据RAM端口”和“程序存储器端口”,是连接外接ROM、RAM的专用端口(此ROM和RAM都能用LPM_ROM和LPM_RAM在同一片FPGA中实现)。然而普通8051芯片的内部RAM是在芯片内的,而外部ROM的连接必须以总线方式与其P0、P2口相接(A T89S51的ROM在芯片内,CPU核外)。

程序存储器端口

ALU I/O 端口

定时器/计数器

UART 串行口

数据RAM 端口中断控制器

特殊功能寄存器

K8051结构模块框图

3、以软核方式存在能进行硬件修改和编辑;能对其进行仿真和嵌入式逻辑分析仪实现实时时序测试;能根据设计者的意愿将CPU 、RAM 、ROM 、硬件功能模块和接口模块等实现于同一片FPGA 中(即SOC )。

4、与普通

8051不同,K8051的4个I/O 口是分开的。例如P1口,其输入端P1I 和输出端P1O 是分开的,如果需要使用P1口的双向口功能,必须外接一些电路才能实现。

如图,K8051单片机的原理图实体图,下方是输入端,上方是输出端。其主要端口的功能如表所示。注意其中的双向口的表达方式。

K8051原理图实体图

如图所示,单片机中的一个端口构成的双向口(P1口)电路连接方法。图中电路调用了几个辅助元件,其中TRI是三态控制门,WIRE是普通接线,主要用于网络名转换。

K8051单片机I/O口设置成双向口的电路

其中P1E是三态门控制信号,当执行从P1口的输入指令时,P1E[7..0]输出全为高电平,外部数据可以通过双向口P1[7..0]进入单片机的P1口的输入口P1I[7..0],而当执行向P1口输出的指令时,若P1口的输出口P1O[7..0]中的位为低电平,则控制信号P1E[7..0]中对应的位也为低,故信号能顺利输出P1口;但当输出信号P1O[7..0]中的位为高电平时,则控制信号P1E[7..0]中对应的位也为高电平,故这时除非P1[7..0]对应的FPGA的外部端口被上拉,否则将呈现纯高阻态。因此,当使用单片机的双向口时须设置FPGA的端口为上拉!

设置方法是,选择Assignmemts菜单中的settings项,选择左栏的Fitter Settings项,再点击右侧的More Settings按纽,在弹出的窗口(图右侧图)下栏中选择Weak PullUp Resistor,并于上方的Setting栏选择On。注意,如果选择了Enable Bus-Hold Circuitry为ON,则不能选上拉为ON,前者是选择输出总线的最后输出为锁定。

设置FPGA的总线口输出为上拉

(2)K8051单片机软核实用系统构建和软件测试

K8051核在接上了ROM和RAM后就成为一个完整的8051或8052单片机了,如图是K8051核实用系统的最基本构建顶层原理图,主要由4个部件构成:

K8051基本实用电路

1、K8051核。图中的CPU_Core即K8051单片机核,由VQM原码表述:CPU_Core.vqm,可以直接调用。该元件可以与其他不同语言表述的元件一同综合与编译。

2、嵌入式锁相环PLL50。其输入频率设置为20MHz,输出频率所在90MHz上,K8051能接受的工作时钟频率上限取决于FPGA的速度级别。

3、程序ROM,LPM_ROM。采用ROM容量的大小也取决于FPGA所含的嵌入RAM 的大小。图14中设置的ROM容量是4K字节,对于一般的应用足够了。此ROM可以加载HEX格式文件作为单片机的程序代码。HEX程序代码可以直接使用普通8051单片机程序编译器生成(设已加载了TEST1.HEX)。

4、数据RAM,LPM_RAM。图14中设置的LPM_RAM容量是256字节。高128字节须用间接寻址方式访问。

图中,P0I[7..0]、P1I[7..0]、P2I[7..0]、P3I[7..0]分别为P0、P1、P2、P3口的输入口;P0O[7..0]、P1O[7..0]、P2O[7..0]、P3O[7..0]分别为P0、P1、P2、P3口的输出口。

《8051/89C51 CPU核及片上系统设计》

总结报告

一.设计思路

1.本次课程设计中,先使QuarterII设计正弦信号发生器,熟悉软件的使用。

2.设计简单的一秒钟二极管的亮灭,熟悉掌握开发板及其软件调试和引脚设定

3.中和上述实验设计最终方案,实现开发板流水灯的设计,使其能够使用按键的方法实现流水灯三种模式的变化

其实验思路为:按下第一个模式控制键后,8个LED数码管会从做到右一个一个亮灯,知道所有灯都亮,LED显示器会显示数字“1”:再按一下模式控制键,8个数码管会以灯0.5秒亮一次,下一个0.5秒灭,而下一个数码管同时点亮,使每个数码管都亮灭过,LED 显示器会显示数字“2”;最后再一次按模式件,8个LED数码管会分别从两边向中间亮,直到所有的灯都点亮,而LED显示器会显示数字“3”

二.设计框图

1.正弦信号发生器结构图

2.流水灯

三.模块分析流水灯:

1.锁相环

对锁相环进行设置:

锁相环是由鉴相器(phase detector )、环路滤波器(loop filter )和压控振荡器(voltage control oscillator,VCO )组成的一种相位负反馈系统,鉴相器的输出信号v D (t) 是输入信号v i (t) 和振荡器输出信号v o (t) 的相位差,该误差电压信号通过环路滤波器滤除高频分量和噪声后,输出低频信号v C (t) 作为CO 的控制信号。在控制电压v C (t) 作用下,VCO 输出信号v o (t) 的频率发生变化并反馈到鉴相器。由此可知,锁相环是一传递相位的反馈系统,系统变量是相位,系统响应是对输入输出信号的相位而不是对其幅度而言。

锁相环的基本原理是,利用负反馈使输出信号频率与输入信号频率之间存在精确度相关(一般是成倍数关系),所以可以用在收发机中本振信号的产生,信号恢复,调频信号调解。

2.RAM :在Quarter中也可以直接生成相应模块,并且对其相关的引脚和频率进行设定。

设置成:

3.ROM:在Quarter中也可以直接生成相应模块。

如上图所示,在设置ROM时要加载在keil软件中生成的.hex文件

四.电路调试

在进行电路调试前要先进行引脚设置,如下图:

在设置完后进行下载测试:

调试成功后的效果为:1.效果图为1秒亮灭图:

2.流水灯实验,实现3种模式的变化

模式一:实现灯从左到右亮灯,再从右到左亮灯

模式二:实现灯从左到右亮,从右到左亮,但是每个等亮时,都有0.5秒的停顿

模式三:灯从两边向中间亮起

五.程序清单

1.正弦信号发生器程序:

Counter8:

LIBRARY IEEE;--8位计数器

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY counter8 IS

PORT (FIN:IN STD_LOGIC;--时钟信号

CLR:IN STD_LOGIC;--清零信号

DOUT:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));--计数结果END counter8;

ARCHITECTURE behav OF counter8 IS

SIGNAL CQI:STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

PROCESS(FIN,CLR)

BEGIN

IF CLR='1' THEN

CQI<=(OTHERS=>'0');--清零

ELSIF FIN'EVENT AND FIN='1' THEN CQI <= CQI+1;

END IF;

END PROCESS;

DOUT<=CQI;

END behav;

2.一秒亮灭程序:

#include

#include

#define uint unsigned int

#define uchar unsigned char

sbit led=P1^0;

uint i,j;

void delayms(uint xms)

{

uint i,j;

for(i=xms;i>0;i--)

for(j=415;j>0;j--);

}

void main ( )

{

while(1)

{

led=~led;

delayms(500);

}

}

2.灯一秒的亮灭程序:

#include

#include

#define uint unsigned int

#define uchar unsigned char

sbit led=P1^0;

uint i,j;

void delayms(uint xms)

{

uint i,j;

for(i=xms;i>0;i--)

for(j=415;j>0;j--);

}

void main ( )

{

while(1)

{

led=~led;

delayms(500);

}

}

3.流水灯程序:

#include

#include

#define uint unsigned int

#define uchar unsigned char

sbit key1=P2^0;

uchar a,b;

uint i,j;

uint x=0;

unsigned char design[18]={0x00,0xff,0xFE,0xFD,0xFB,0xF7,0xEF,0xDF,0xBF, 0x7F,0x7F,0xBF,0xDF,0xEF,0xF7,0xFB,0xFD,0xFE};

unsigned char design1[8]={0x81,0x42,0x24,0x18,0xe7,0xdb,0xbd,0x7e};

void delayms(uint xms)

{

for(i=xms;i>0;i--)

for(j=415;j>0;j--);

}

void main ( )

{

P0=0;

while(1)

{

if(key1==0)

{

delayms(5);

if(key1==0)

{

while(!key1); //按键释放检测

x=x+1;

P0=x;

if(x >= 4)

x=0;

}

}

if(x==1)

{

a=0x01;

for(i=0;i<7;i++)

{

P1=a;

delayms(200);

a=a<<1;

a=a+0X01;

}

a=0x80;

for(j=0;j<7;j++)

P1=a;

delayms(200);

a=a>>1;

a=a+0X80;

} //实现流水灯来回闪烁

}

if(x==2)

{

for (b=0; b<17; b++)

{

delayms(200);

P1 = design[b]; //读已定义的花样数据并写花样数据到P1口}

}

}

if(x==3)

{

for (b=0; b<8; b++)

{

delayms(200);

P1 = design1[b]; //读已定义的花样数据并写花样数据到P1口}

}

}

}

}

六.感想

此次课程设计主要是利用QuarterII,keil软件实现流水灯的多种变化,通过8051/89C51 CPU核及片上系统设计,再次熟悉了Quartus2 ,Keil软件,学习了8051/89C51单片机软核基本功能和结构,熟悉K8051单片机核信号端口功能,掌握了K8051单片机软核实用系统构建和软件测试方法等。

在这次的实验中我感受到了工程项目的设计很重要。在这一次我们通过搜查相关FPGA的资料来得出其中需要CPU,ROM,RAM,PLL等相关的模块。之后,我们又观看了潘松老师的教学语音视频,从中收获很多。通过对这些模块和程序的编写来实现流水灯的运作,还需要相关的计算。在画图上需要分清楚线的位置,画对线与总线。在程序的编写过程中,需要回顾以前的旧知识,做到知识的融合,将单片机与EDA相结合。

微处理器系统结构与嵌入式系统设计(第2版) 第3章答案

“微处理器系统原理与嵌入式系统设计”第三章习题解答 3.1处理器有哪些功能?说明实现这些功能各需要哪些部件,并画出处理器的基本结构图。 处理器的基本功能包括数据的存储、数据的运算和控制等功能。其有5个主要功能:①指令控制②操作控制③时间控制④数据加工⑤中断处理。其中,数据加工由ALU 、移位器和寄存器等数据通路部件完成,其他功能由控制器实现。处理器的基本结构图如下: 寄存器组 控制器 整数单元 浮点单元 数据通路 处理器数据传送 到内存数据来自内存数据传送到内存指令来自内存 3.2处理器内部有哪些基本操作?这些基本操作各包含哪些微操作? 处理器基本操作有:取指令、分析指令、执行指令。 取指令:当程序已在存储器中时,首先根据程序入口地址取出一条程序,为此要发出指令地址及控制信号。 分析指令:对当前取得的指令进行分析,指出它要求什么操作,并产生相应的操作控制命令。 执行指令:根据分析指令时产生的“操作命令”形成相应的操作控制信号序列,通过运算器、存储器及输入/输出设备的执行,实现每条指令的功能,其中包括对运算结果的处理以及下条指令地址的形成。 3.3什么是冯·诺伊曼计算机结构的主要技术瓶颈?如何克服? 冯·诺伊曼计算机结构的主要技术瓶颈是数据传输和指令串行执行。可以通过以下方案克服:采用哈佛体系结构、存储器分层结构、高速缓存和虚拟存储器、指令流水线、超标量等方法。

3.5指令系统的设计会影响计算机系统的哪些性能? 指令系统是指一台计算机所能执行的全部指令的集合,其决定了一台计算机硬件主要性能和基本功能。指令系统一般都包括以下几大类指令。:1)数据传送类指令。(2)运算类指令 包括算术运算指令和逻辑运算指令。(3)程序控制类指令 主要用于控制程序的流向。 (4)输入/输出类指令 简称I/O 指令,这类指令用于主机与外设之间交换信息。 因而,其设计会影响到计算机系统如下性能: 数据传送、算术运算和逻辑运算、程序控制、输入/输出。另外,其还会影响到运算速度以及兼容等。 3.9某时钟速率为2.5GHz 的流水式处理器执行一个有150万条指令的程序。流水线有5段,并以每时钟周期1条的速率发射指令。不考虑分支指令和乱序执行带来的性能损失。 a)同样执行这个程序,该处理器比非流水式处理器可能加速多少? b)此流水式处理器是吞吐量是多少(以MIPS 为单位)? a.=51p T nm S T m n =≈+-串流水 速度几乎是非流水线结构的5倍。 b.2500M IPS p n T T =≈流水 3.10一个时钟频率为2.5 GHz 的非流水式处理器,其平均CPI 是4。此处理器的升级版本引入了5级流水。然而,由于如锁存延迟这样的流水线内部延迟,使新版处理器的时钟频率必须降低到2 GHz 。 (1) 对一典型程序,新版所实现的加速比是多少? (2) 新、旧两版处理器的MIPS 各是多少? (1)对于一个有N 条指令的程序来说: 非流水式处理器的总执行时间s N N T 990 106.1)105.2/()4(-?=??= 5级流水处理器的总执行时间s N N T 991 10)4(2)102/()15(-?+=?-+= 加速比=42.310 +=N N T T ,N 很大时加速比≈3.2 (2)非流水式处理器CPI=4,则其执行速度=2500MHz/4=625MIPS 。 5级流水处理器CPI=1,则其执行速度=2000 MHz /1=2000 MIPS 。 3.11随机逻辑体系结构的处理器的特点是什么?详细说明各部件的作用。 随机逻辑的特点是指令集设计与硬件的逻辑设计紧密相关,通过针对特定指令集进行

嵌入式微处理器系统读书报告

《嵌入式微处理器系统》专题读书报告 姓名:全妤

1、引言 随着医疗电子、智能家居、物流管理和电力控制等方面的不断风靡,嵌入式系统利用自身积累的底蕴经验,重视和把握这个机会,想办法在已经成熟的平台和产品基础上与应用传感单元的结合,扩展物联和感知的支持能力,发掘某种领域物联网应用。作为物联网重要技术组成的嵌入式系统,嵌入式系统的视角有助于深刻地、全面地理解物联网的本质。 2、嵌入式系统的概念 嵌入式系统被定义为以应用为中心、计算机技术为基础、软件硬件可裁剪、适应应用系统对功能、可靠性、成本、体积功耗严格要求的专用计算机系统。 2.1嵌入式系统的组成 一个嵌入式系统装置一般都由嵌入式计算机系统和执行装置组成。嵌入式计算机系统是整个嵌入式系统的核心,由硬件层、中间层、系统软件层和应用软件层组成。执行装置也称为被控对象,它可以接受嵌入式计算机系统发出的控制命令,执行所规定的操作或任务。 2.1.1 硬件层 硬件层中包含嵌入式微处理器、存储器(SDRAM、ROM、Flash等)、通用设备接口和I/O接口(A/D、D/A、I/O等)。

在一片嵌入式处理器基础上添加电源电路、时钟电路和存储器电路,就构成了一个嵌入式核心控制模块。其中操作系统和应用程序都可以固化在ROM中。 1)嵌入式微处理器 嵌入式系统硬件层的核心是嵌入式微处理器,嵌入式微处理器与通用CPU最大的不同在于嵌入式微处理器大多工作在为特定用户群所专用设计的系统中,它将通用CPU许多由板卡完成的任务集成在芯片内部,从而有利于嵌入式系统在设计时趋于小型化,同时还具有很高的效率和可靠性。 2)存储器 嵌入式系统需要存储器来存放和执行代码。嵌入式系统的存储器包含Cache、主存和辅助存储器。 3)通用设备接口和I/O接口 嵌入式系统和外界交互需要一定形式的通用设备接口,如A/D、D/A、I/O等,外设通过和片外其他设备的或传感器的连接来实现微处理器的输入/输出功能。每个外设通常都只有单一的功能,它可以在芯片外也可以内置芯片中。外设的种类很多,可从一个简单的串行通信设备到非常复杂的802.11无线设备。

微处理器原理及其系统设计 第一章答案

第1章 1.将下列十进制数转换成二进制数: (1)58;(2)67.625; (3)5721; 解:(1)58D = 0011 1010B (2)67.625D = 0100 0011.1010B (3)5721D = 0001 0110 0101 1001B 2.将二进制数变换成十六进制数: (1)1001 0101B;(2)11 0100 1011B;(3)1111 1111 1111 1101B;(4)0100 0000 10101B;(5)0111 1111B;(6)0100 0000 0001B 解:(1)1001 0101B = 95H (2)11 0100 1011B = 34BH (3)1111 1111 1111 1101B = FFFDH (4)0 1000 0001 0101B = 815H (5)0111 1111B = 7FH (6)0100 0000 0001B = 401H 3.将十六进制数变换成二进制数和十进制数: (1)78H;(2)0A6H;(3)1000H;(4)0FFFFH 解:(1)78H = 120D = 0111 1000B (2)0A6H = 166D = 1010 0110B (3)1000H = 4096D = 0001 0000 0000 0000H (4)0FFFFH = 65535D = 1111 1111 1111 1111B 4.将下列十进制数转换成十六进制数: (1)39;(2)299.34375;(3)54.5625 解:(1)39D = 27H (2)299.34375D = 12B.58H (3)54.5625D = 36.9H 5.将下列二进制数转换成十进制数: (1)10110.101B;(2)10010010.001B;(3)11010.1101B 解:(1)10110.101B = 22.625D

微处理器系统与嵌入式系统1—7章最全答案合集

“微处理器系统原理与嵌入式系统设计”第一章习题解答 1.1 什么是程序存储式计算机? 程序存储式计算机指采用存储程序原理工作的计算机。 存储程序原理又称“·诺依曼原理”,其核心思想包括: ●程序由指令组成,并和数据一起存放在存储器中; ●计算机启动后,能自动地按照程序指令的逻辑顺序逐条把指令从存储器中 读出来,自动完成由程序所描述的处理工作。 1.2 通用计算机的几个主要部件是什么? ●主机(CPU、主板、存); ●外设(硬盘/光驱、显示器/显卡、键盘/鼠标、声卡/音箱); 1.3 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.4 阐述摩尔定律。 每18个月,芯片的晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 讨论:摩尔定律有什么限制,可以使用哪些方式克服这些限制?摩尔定律还会持续多久?在摩尔定律之后电路将如何演化? 摩尔定律不能逾越的四个鸿沟:基本大小的限制、散热、电流泄露、热噪。具体问题如:晶体管体积继续缩小的物理极限,高主频导致的高温…… 解决办法:采用纳米材料、变相材料等取代硅、光学互联、3D、加速器技术、多核…… (为了降低功耗与制造成本,深度集成仍是目前半导体行业努力的方向,但这不可能永无止,因为工艺再先进也不可能将半导体做的比原子更小。用作绝缘材料的二氧化硅,已逼近极限,如继续缩小将导致漏电、散热等物理瓶颈,数量集成趋势终有终结的一天。一旦芯片上线条宽度达到纳米数量级时,相当于只有几个分子的大小,这种情况下材料的物理、化学性能将发生质的变化,致使采用现行工艺的半导体器件不能正常工作,摩尔定律也就要走到它的尽头了。业界专家预计,芯片性能的增长速度将在今后几年趋缓,一般认为摩尔定律能再适用10年左右,其制约的因素一是技术,二是经济。)

简单微处理器的设计

简单微处理器的设计 摘要:本课程设计采用EDA技术设计简单微处理器。系统设计采用自顶向下的设计方法。它由数的输入,数的比较,数的交换和结果输出四部分组成。系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编程、时序仿真并分析。系统结构简单,使用方便,功能齐全,精度高,具有一定的应用价值。 关键词:处理器;输入;比较;交换

目录 1 引言 (1) 1.1课题设计的背景、目的 (1) 1.2 课程设计的内容 (1) 2 EDA、VHDL简介 (2) 2.1 EDA简介 (2) 2.2 VHDL简介 (2) VHDL语言的特点 (2) VHDL的设计流程 (3) 3 简单微处理器的设计过程 (4) 3.1设计规划 (4) 3.2 各模块设计及相应程序 (4) 4 系统仿真 (8) 1.数的输入. (8) 2 数的比较。 (8) 3 交换两个数。 (9) 4 结果输出(从小到大). (9) 结束语 (11) 致谢 (12) 参考文献 (13) 附录 (14)

1 引言 随着社会的发展,科学技术也在不断的进步。特别是计算机产业,可以说是日新月异,而处理器,作为计算机中的一个重要部分,其性能从很大程度上决定了计算机的性能。本设计介绍的简单微处理器,要求具有以下验证程序所要求的功能:输入包含10个整数(无符号数)的数组M,按从小到大的顺序输出这10个数。 1.1课题设计的背景、目的 微处理器技术的发展是与微电子技术即大规模集成电路技术的发展分不开的。微电子技术以每18个月集成度提高一倍的速度迅速发展。20世纪80年代初,主要是16位微处理器8086/8088。1985年推出了80386微处理器,完成了16位体系结构向32位体系结构的转变。1989年80486出现了。80486的设计目标是提高指令执行速度和支持多处理器系统。80486在芯片内部增加一个8KB的高速缓冲存储器(cache),还增加了相当于80387的浮点部件(FPU),在基本指令的实现上,采用硬布线逻辑而不是微程序技术。1993年3月,Intel公司推出了第一代“奔腾”微处理器(Pentium),微处理器技术发展进入了一个新的阶段。到目前为止,“奔腾”已有四代产品。“奔腾”的设计思想是把如何提高微处理器内部指令执行的并行性作为主导。指令执行的并行性越好,微处理器的性能就越高。 本次设计的目的就是了解并掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,学习VHDL基本单元电路的综合设计应用。通过对实用电子称的设计,巩固和综合运用所学课程,理论联系实际,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。通过课程设计深入理解VHDL语言的精髓,达到课程设计的目标。 1.2 课程设计的内容 本设计主要介绍的设计一台简单微处理器,要求具有以下验证程序所要求的功能:输入包含10个整数(无符号数)的数组M,按从小到大的顺序输出这10个数。 ( 1 )程序开始及输入10个数据。 ( 2 )数的比较。

简单微处理器的设计与实现

实验五简单微处理器的设计与实现 一、设计任务和技术指标 运用在“数字电路与逻辑设计”课程中学过的基本理论知识,设计并用可编程逻辑器件实现一个简单的八位操作数的微处理器。完成微处理器硬件系统设计和指令系统设计两方面的任务,使微处理器能够实现两个不带符号位的八位二进制数原码相乘等功能。 二、简单微处理器介绍 1.微处理器硬件系统及原理 微处理器硬件系统包括运算器、控制器、存储器以及其它必要的逻辑部件。图4-1是一个微处理器的参考原理框图,具体说明如下: (1)程序计数器PC:存放将要执行指令的地址。 (2)指令存储器IROM,存放程序指令,每条指令的长度为W,指令的个数为L。 (3)指令寄存器IR:存放被执行指令的操作码,直接供运算控制器。 (4)控制器CON:产生一系列时序逻辑信号,控制微处理器各个部件协调一致地完成每条指令相应的操作,实现两个操作数的运算。 (5)通用寄存器堆R0-R7:用来临时存放运算过程中读出和写入的数据。 (6)缓冲寄存器RS和RD:用于存放ALU的两个输入操作数。 (7)运算器ALU和进位寄存器C:运算器ALU对两个操作数RS和RD进行加、减或逻辑运算处理,在进行加减运算时还接受控制器的进位输入信号CI,ALU的运算结果送给通用寄存 器或特殊寄存器。ALU还根据运算结果设置进位标志C和零标志Z。 (8)运算结果显示送七段数码管显示,用十六进制显示。 输入 输出 图4-1 简单微处理器框图 2.处理器指令系统及功能 处理器的基本指令字长为W位,指令的每一位从高到低用D W、D W-1、…D1、D0表示,有些微处理器的一条指令包括多个指令字长,即每条指令的长度不一样,例如Intel的80386等。本实验为了简化设计,规定所有的指令都是单指令字的指令,即所有指令的长度都是W。基本的指令系统一般包括

嵌入式微处理器与操作系统_华中师范大学20年春季考试题库及答案

[试题分类]:嵌入式微处理器与操作系统Z_82411005 [题型]:单选 [大题名称]:单项选择题 [题目数量]:60 [分数]:2 1.Makefile文件预定定义变量$^表示()。 A.目标文件的完整名称 B.所有不重复的依赖文件,以空格隔开 C.第一个依赖文件的名称 D.第二个依赖文件的名称 [答案]:B [一级属性]: [二级属性]: [难度]: [公开度]: 2.如果生成通用计算机上(系统是Linux操作系统)能够执行的程序,则使用的C编译是()。 A.TC B.VC C.GCC D.arm-linux-gcc [答案]:C [一级属性]: [二级属性]: [难度]: [公开度]: 3.创建根文件系统映像文件使用的工具是()。 A.BusyBox B.cramfs C.make D.vi [答案]:A [一级属性]: [二级属性]: [难度]: [公开度]: 4.S3C2410X系统的存储空间分成()组(bank)。 A.2 B.4 C.8 D.16 [答案]:C

[一级属性]: [二级属性]: [难度]: [公开度]: 5.GDB软件是()。 A.调试器 B.编译器 C.文本编译器 D.连接器 [答案]:A [一级属性]: [二级属性]: [难度]: [公开度]: 6.嵌入式系统和通用计算机相比,描述不正确的是()? A.专用性强 B.实时性好 C.可裁剪性好 D.功耗高 [答案]:D [一级属性]: [二级属性]: [难度]: [公开度]: 7.ARM9使用几级流水线。 A.2 B.3 C.5 D.7 [答案]:C [一级属性]: [二级属性]: [难度]: [公开度]: 8.ARM公司主要依靠()获得利润。 A.生产芯片 B.销售芯片 C.制定标准 D.出售芯片技术授权 [答案]:D

嵌入式微处理器结构与应用课程设计报告

《嵌入式微处理器结构与应用》 课程设计报告 题目:电子菜单 一、课程设计的目的和主要内容: 目的:《嵌入式微处理器结构与应用》课程设计是软件工程本科专业的专业实践课程,结合在课程中学到的嵌入式系统的开发和调试方法,对学生在嵌入式系统设计和应用开发的基本技能方面进行综合的检验和实践。是学生在学习完《嵌入式微处理器结构与应用》课程之后的一个重要的实践环节。要求学生在教师的指导下,综合运用已学过的嵌入式微处理器相关的各种知识和技能,以小组协作的方式完成一项较为完整、并具有一定难度的课程设计任务。使学生总结本课程的主要知识点,提高学生的实际动手能力,为学生今后进一步学习和从事嵌入式系统相关的研究与开发打下坚实的基础。 内容:基于实时操作系统,学会复杂应用程序的基本开发方法,结合课程实验学到的知识,编写一个能综合应用液晶屏、触摸屏、键盘并结合简单文件系统API函数的界面应用。要求具备基本的三个界面:初始界面、点菜界面、结束界面,基本实现点菜的全过程。 二、基本的设计思路或程序流程图描述: 基于MVC勺设计模式,将程序分成三大独立的部分:模型(逻辑)部分、视图部分、控制部分。这三大部分的关系如下:

程序总流程图:

百度文库-让每个人平等地提升自我 初始化程序, 打开启动画面线程 关闭线程 点菜结束按钮 判断消息 类型 判断操 作类型 判断单 击内容 判断控 件类型 判断该菜单条目 的 状态,进行相应的逻 辑和视图更新 本人负责: 打开线程 界面控件 翻页按钮 加载菜单数据 显示启动动 画 键盘消息 显示点菜结束 画面和总价 程序 菜单条目 进行菜单的上下 滚动 关闭按钮 单击 拉动 重置逻辑数 据,显示最初 的点菜界面 关闭启动画面线程, 显示点菜画面 显示程序结束画 面 进行菜单的翻页 等待系统消息 触摸屏消息 、视图部分:

DSP 、单片机以及嵌入式微处理器区别

DSP 、单片机以及嵌入式微处理器都是嵌入式家族的一员。最大区别是DSP能够高速、实时地进行数字信号处理运算。数字信号处理运算的特点是乘/加及反复相乘 求和(乘积累加)。为了能快速地进行数字信号处理的运算,(1)DSP设置了硬件乘法/累加器,(2)能在单个指令周期内完成乘/加运算。(3)为满足FFT、卷积等数字信号处理的特殊要求,目前DSP大多在指令系统中设置了“循环寻址”及“位倒序”寻址指令和其他特殊指令,使得寻址、排序的速度大大提高。DSP完成1024复点FFT的运算,所需时间仅为微秒量级。 高速数据的传输能力是DSP高速实时处理的关键之一。新型的DSP设置了单独的DMA总线及其控制器,在不影响或基本不影响DSP处理速度的情况下,作并行的数据传送,传送速率可达每秒百兆字节。DSP内部有流水线,它在指令并行、功能单元并行、多总线、时钟频率提高等方面不断创新和改进。因此,DSP与单片机、嵌入式微处理器相比,在内部功能单元并行、多DSP核并行、速度快、功耗小、完成各种DSP算法方面尤为突出。 单片机也称微控制器或嵌入式控制器,它是为中、低成本控制领域而设计和开发的。单片机的位控能力强,I/O接口种类繁多,片内外设和控制功能丰富、价格低、使用方便,但与DSP相比,处理速度较慢。DSP具有的高速并行结构及指令、多总线,单片机却没有。DSP处理的算法的复杂度和大的数据处理流量更是单片机不可企及的。嵌入式微处理器的基础是通用计算机中的CPU(微处理器)。是嵌入式系统的核心。为满足嵌入式应用的特殊要求,嵌入式微处理器虽然在功能上和标准微处理器基本是一样的,但在工作温度、抗电磁干扰、可靠性等方面一般都做了各种增强。与工业控制计算机相比,嵌入式微处理器具有体积小、质量轻、成本低、可靠性高的优点,但是在电路板上必须包括ROM、RAM、总线接口、各种外设等器件,从而降低了系统的可靠性,技术保密性也较差。在应用设计中,嵌入式微处理器及其存储器、总线、外设等安装在专门设计的一块电路板上,只保留和嵌入式应用有关的母板功能,可大幅度减小系统的体积和功耗。目前,较流行的是基于ARM7、ARM9系列内核的嵌入式微处理器。 嵌入式微处理器与DSP的一个很大区别,就是嵌入式处理器的地址线要比DSP 的数目多,所能扩展的存储器空间要比DSP的存储器空间大的多,所以可配置实时多任务操作系统(RTOS)。RTOS是针对不同处理器优化设计的高效率、可靠性和可信性很高的实时多任务内核,它将CPU时间、中断、I/O、定时器等资源都包装起来,留给用户一个标准的应用程序接口(API),并根据各个任务的优先级,合理地在不同任务之间分配CPU时间。RTOS是嵌入式应用软件的基础和开发平台。常用的RTOS:Linux(为几百KB)和VxWorks(几MB)。 由于嵌入式实时多任务操作系统具有的高度灵活性,可很容易地对它进行定制或作适当开发,来满足实际应用需要。例如,移动计算平台、信息家电(机顶盒、数字电视)、媒体手机、工业控制和商业领域(例如,智能工控设备、ATM机等)、电子商务平台,甚至军事应用,吸引力巨大。所以,目前嵌入式微处理器的应用是继单片机、DSP之后的又一大应用热门。但是,由于嵌入式微处理器通常不能高效地完成许多基本的数字处理运算,例如,乘法累加、矢量旋转、三角函数等。它的 体系结构对特殊类型的数据结构只能提供通用的寻址操作,而DSP则有专门的简捷寻址机构和辅助硬件来快速完成。所以嵌入式微处理器不适合高速、实时的数字信号处理运算。而更适合“嵌入”到系统中,完成高速的“通用”计算与复杂

微处理器原理与系统设计实验

微处理器原理与系统设计实验手册 1 实验平台概述 自从TI推出超低功耗MSP430单片机以来,MSP430凭借其优越的性能,丰富的外设,易于上手的特性备受业内工程师的欢迎。单片机MSP430G2553集成的片内外设相当丰富,包括ADC、Timer、Comparator、Touch Key、SPI、I2C、UART等,MSP-EXP430G2 LaunchPad 是TI公司推出的1款MSP430开发板,它提供了具有集成仿真功能14/20引脚DIP插座目标板,可通过Spy Bi-Wire(2线JTAG)协议对系统内置的MSP430超值系列(G系列)进行快速编程和调试。实验平台包含MSP-EXP430G2中配套的MSP430G2553单片机片内外设实验以及2个综合性实验。 2 实验平台硬件 口袋实验平台硬件原理框图如图1所示,主要有以下部分: 图1 口袋实验平台硬件原理框图 (1)显示和输入单元:口袋实验平台利用I2C接口的TCA6416A扩展出16个低速双向IO(IO00~IO07,IO10~IO17)。4个扩展IO用于控制LCD驱动器HT1621,4个用于机械按键输入,8个用于LED灯柱。 (2)触摸按键单元:两个触摸按键占用P2.0和P2.5两个GPIO,MSP430G2系列单片机的P1口和P2口全部具备振荡功能。 (3)模拟输出单元:外部扩展了12位串行数模转换器DAC7311,使用P1.0/P1.3/P2.2三个普通GPIO控制。这三个IO同时被其他单元复用,但是由于DAC7311都是高阻输入口,所以无需跳线复用。音频功放TPA301可以将DAC输出进行电流放大,以便驱动喇叭/蜂鸣器

微处理器系统结构与嵌入式系统设计(第2版) 第5章答案

5.10 用16K×1位的DRAM芯片组成64K×8位存储器,要求: (1) 画出该存储器的组成逻辑框图。 (2) 设存储器读/写周期为0.5μS, CPU在1μS内至少要访问一次。试问采用哪种刷新方式比较合理?两次刷新的最大时间间隔是多少?对全部存储单元刷新一遍所需的实际刷新时间是多少? (1)组建存储器共需DRAM芯片数N=(64K*8)/(16K*1)=4*8(片)。 每8片组成16K×8位的存储区,A13~A0作为片内地址,用A15、A14经2:4译码器产生片选信号,逻辑框图如下(图有误:应该每组8片,每片数据线为1根) (2)设16K×8位存储芯片的阵列结构为128行×128列,刷新周期为2ms。因为刷新每行需0.5μS,则两次(行)刷新的最大时间间隔应小于: 为保证在每个1μS内都留出0.5μS给CPU访问内存,因此该DRAM适合采用分散式或异步式刷新方式,而不能采用集中式刷新方式。 ●若采用分散刷新方式,则每个存储器读/写周期可视为1μS,前0.5μS用于读写,后 0.5μS用于刷新。相当于每1μS刷新一行,刷完一遍需要128×1μS=128μS,满足刷新周期小于2ms的要求; ●若采用异步刷新方式,则应保证两次刷新的时间间隔小于15.5μS。如每隔14个读写周期刷新一行,相当于每15μS刷新一行,刷完一遍需要128×15μS=1920μS,满足刷新周期小于2ms的要求; 需要补充的知识: 刷新周期:从上一次对整个存储器刷新结束到下一次对整个存储器全部刷新一遍为止的时间间隔。刷新周期通常可以是2ms,4ms或8ms。 DRAM一般是按行刷新,常用的刷新方式包括: ●集中式:正常读/写操作与刷新操作分开进行,刷新集中完成。

微处理器系统结构与嵌入式系统设计 习题答案 李广军

第一章习题解答 1.1 什么是程序存储式计算机? 程序存储式计算机指采用存储程序原理工作的计算机。 存储程序原理又称“冯·诺依曼原理”,其核心思想包括: ●程序由指令组成,并和数据一起存放在存储器中; ●计算机启动后,能自动地按照程序指令的逻辑顺序逐条把指令从存储器中 读出来,自动完成由程序所描述的处理工作。 1.2 通用计算机的几个主要部件是什么? ●主机(CPU、主板、内存); ●外设(硬盘/光驱、显示器/显卡、键盘/鼠标、声卡/音箱); 1.3 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.4 阐述摩尔定律。 每18个月,芯片的晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 讨论:摩尔定律有什么限制,可以使用哪些方式克服这些限制?摩尔定律还会持续多久?在摩尔定律之后电路将如何演化? 摩尔定律不能逾越的四个鸿沟:基本大小的限制、散热、电流泄露、热噪。具体问题如:晶体管体积继续缩小的物理极限,高主频导致的高温…… 解决办法:采用纳米材料、变相材料等取代硅、光学互联、3D、加速器技术、多内核…… (为了降低功耗与制造成本,深度集成仍是目前半导体行业努力的方向,但这不可能永无止,因为工艺再先进也不可能将半导体做的比原子更小。用作绝缘材料的二氧化硅,已逼近极限,如继续缩小将导致漏电、散热等物理瓶颈,数量集成趋势终有终结的一天。一旦芯片上线条宽度达到纳米数量级时,相当于只有几个分子的大小,这种情况下材料的物理、化学性能将发生质的变化,致使采用现行工艺的半导体器件不能正常工作,摩尔定律也就要走到它的尽头了。业界专家预计,芯片性能的增长速度将在今后几年趋缓,一般认为摩尔定律能再适用10年左右,其制约的因素一是技术,二是经济。)

《微处理器系统设计课程设计》教学大纲

《微处理器系统设计课程设计》教学大纲 Course Design of Microprocessor System Design 课程编号:DZ240130 适用专业:集成电路设计与集成系统 先修课程:计算机设计与实践学分数:2 总学时数:2周实验(上机)学时:2周 考核方式:系考 执笔者:刘有耀编写日期:2012-07-8 一、课程性质和任务 本课程设计是计算机组成与设计课程的实践教学环节,其目的是使学生通过硬件描述语言设计以MIPS处理器为核心的SoC系统,掌握微处理器的工作原理和多周期微处理器设计的基本原理并建立整机概念,掌握通过状态机描述进行处理器控制单元设计的基本方法,并且通过SoC的设计掌握FPGA设计方法以及仿真调试方法,掌握通过硬件描述语言实现硬件电路的方法,培养学生的硬件设计、调试和运行维护等多方面的技能,同时训练一定的工程设计能力。也使学生系统科学地受到分析问题和解决问题的训练。 二、课程教学内容和要求 主要内容:主要包括指令系统的设计、指令流程的设计、运算器设计、寄存器设计、多周期微处理器的微控制器设计、微处理器辅助电路设计、微处理器的仿真调试、存储器的设计、处理器外围接口的设计与调试、SoC的整体设计与调试。 基本要求:通过本课程设计学生深入分析多周期MIPS处理器工作原理和组成结构,学会用FPGA实现SoC的方法和流程,掌握计算机工作原理、处理器指令系统以及处理器的设计方法。 重点:通过SoC的整体设计掌握多周期微处理器的工作原理和设计方法以及FPGA的使用方法。 难点:多周期微处理器的工作原理和多周期微处理器控制器的

设计与实现以及SoC的设计与调试等内容。 具体内容及要求如下: 1)课堂讲解,学生选择设计题目,熟悉实验内容(6学时) 主要介绍MIPS指令系统、MIIPS处理器结构、MIPS处理器的SoC 整体结构,可综合Verilog HDL语言简介、基于Verilog HDL的处理器设计方法及设计流程、仿真平台及验证平台简介等。 2)指令系统设计和指令流程设计以及数据通路设计(12学时) 主要详细介绍MIPS指令系统、指令执行流程以及指令实现方法、按指令分类的处理器数据通路设计以及处理器总体通路设计。 3)微处理器基本单元设计以及微处理器的多周期设计(12学时)着重介绍通过硬件描述语言实现微处理器基本单元模块设计的方法,进行寄存器设计、运算器设计等微处理器的多周期设计。 4)微处理器的控制单元设计以及微处理器的仿真与调试(12学时) 着重介绍通过状态机描述方法进行多周期微处理器控制其单元的设计以及使用仿真工具对微处理器进行仿真与调试。 5)外围电路的设计以及SoC的调试和运行(12学时) 主要介绍基于FPGA的存储器设计方法、处理器外围电路的设计方法,进行存储器、串口等IO接口设计、SoC的设计与仿真以及SoC 在FPGA上的调试与运行。 6)SoC的验收和评分(6学时) 主要考查学生对设计内容以及设计方法的了解情况,考查学生对流水线微处理器的工作原理和设计方法的理解程度。依据学生对设计内容的理解程度、所实现微处理器指令系统的难易程度以及实现SoC外围功能的丰富程度进行验收和评分。 三、各教学环节的学时分配 本课程设计属于实践课程,教学环节集中安排在2周进行。为保证达到预计的教学目的,课程设计可以分组进行,以小组为单位分别进行资料的收集、方案论证、实验及改进。具体实践教学的学时分配如下表:

微处理器系统结构与嵌入式系统设计(第二版)答案全

微处理器系统结构与嵌入式系统设计(第二版)答案全

一 1.2 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.3 阐述摩尔定律。 每18个月,芯片的晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 什么是SoC?什么是IP核,它有哪几种实现形式? SoC:系统级芯片、片上系统、系统芯片、系统集成芯片或系统芯片集等,从应用开发角度出发,其主要含义是指单芯片上集成微电子应用产品所需的所有功能系统。 IP核:满足特定的规范和要求,并且能够在设计中反复进行复用的功能模块。它有软核、硬核和固核三种实现形式。 1.8 什么是嵌入式系统?嵌入式系统的主要特点有哪些? 概念:以应用为中心,以计算机技术为基础,软硬件可裁剪,适应应用系统对功能、可靠性、成本、体积和功耗的严格要求的专用计算机系统,即“嵌入到应用对象体系中的专用计算机系统”。 特点:1、嵌入式系统通常是面向特定应用的。 2、嵌入式系统式将先进的计算机技术、半导体技术和电子技术与各个行业的具体应用相结合的产物。 3、嵌入式系统的硬件和软件都必须高效率地设计,量体裁衣、去除冗余,力争在同样的硅片面积上实现更高的性能。

4、嵌入式处理器的应用软件是实现嵌入式系统功能的关键,对嵌入式处理器系统软件和应用软件的要求也和通用计算机有以下不同点。 ①软件要求固体化,大多数嵌入式系统的软件固化在只读存储器中; ②要求高质量、高可靠性的软件代码; ③许多应用中要求系统软件具有实时处理能力。 5、嵌入式系统和具体应用有机的结合在一起,它的升级换代也是和具体产品同步进行的,因此嵌入式系统产品一旦进入市场,就具有较长的生命周期。 6、嵌入式系统本身不具备自开发能力,设计完成以后用户通常也不能对其中的程序功能进行修改,必须有一套开发工具和环境才能进行开发。 二 2.2 完成下列逻辑运算 (1)101+1.01 = 110.01 (2)1010.001-10.1 = 111.101 (3)-1011.0110 1-1.1001 = -1100.1111 1 (4)10.1101-1.1001 = 1.01 (5)110011/11 = 10001 (6)(-101.01)/(-0.1) = 1010.1 2.3 完成下列逻辑运算 (1)1011 0101∨1111 0000 = 1111 0101 (2)1101 0001∧1010 1011 = 1000 0001 (3)1010 1011⊕0001 1100 = 1011 0111

嵌入式系统开发基础——基于ARM9微处理器C语言程序设计各章习题答案

第一章习题 1。嵌入某种微处理器或单片机的测试和控制系统称为嵌入式控制系统(Embedded Control System)。 在应用上大致分为两个层次,以MCS-51为代表的8位单片机和以ARM技术为基础的32位精减指令系统单片机 2。目标机上安装某种嵌入式操作系统和不安装嵌入式操作系统, 以MCS-51为代表的8位单片机不安装嵌入式操作系统。 3。32位、16位和8位 5。32位、16位 6。在大端格式中,字数据的高字节存储在低字节单元中,而字数据的低字节则存放在高地址单元中。 在小端存储格式中,低地址单元存放的是字数据的低字节,高地址单元中,存放的是数据的高字节。 第二章习题 (略) 第三章习题 1。 (1)寄存器大约有17类,每个的定义都是寄存器名字前面加一个小写”r” (2)在56个中断源中,有32个中断源提供中断控制器,其中,外部中断EINT4~EINT7通过“或”的形式提供一个中断源送至中断控制器,EINT8~EINT23也通过“或”的形式提供一个中断源送至中断控制器。 第四章习题 1,56个中断源,有32个中断源提供中断控制器 2,两种中断模式,即FIQ模式(快速模式)和IRQ模式(通用模式)。通过中断模式控制寄存器设置。 3,常用的有5个,它们是中断模式控制寄存器,控制中断模式;中断屏蔽寄存器,控制中断允许和禁止;中断源挂起寄存器,反映哪个中断源向CPU申请了中断;中断挂起寄存器,反映CPU正在响应的中断是哪个中断源申请的;中断优先级寄存器,它和中断仲裁

器配合,决定中断优先级。 4,中断源挂起寄存器,反映哪个中断源向CPU申请了中断;中断挂起寄存器,反映CPU正在响应的中断是哪个中断源申请的。中断源向CPU申请了中断如果该中断源没被屏蔽并且没有和它同级或高级的中断源申请中断,才能被响应。系统中可以有多个中断源向CPU申请中断,但同一时刻CPU只能响应一个最高级的中断源中断请求。中断源挂起寄存器和中断挂起寄存器反映了中断系统不同时段的状态。 5,进入中断服务程序先清中断源挂起寄存器和中断挂起寄存器;中断结束,将该中断源屏蔽。 6,将该中断源屏蔽取消;将该中断源屏蔽。 第五章习题 1,S3C2410芯片上共有117个多功能的输人/输出引脚,它们是。 ?1个23位的输出端口(端口A); 。1个11位的输入/输出端口(端口B); 。1个16位输入/输出端口(端口C); ? 1个16位输入/输出端口(端口D); ? 1个16位输入/输出端口(端口E); ?1个8位输人/输出端口(端口F); ? 1个16位输入/输出端口(端口G); 。1个11位的输入/输出端口(端口H)。 2,S3C2410 I/O口的控制寄存器、数据寄存器、上拉电阻允许寄存器的作用? 端口控制寄存器定义了每个引脚的功能;与I/O口进行数据操作,不管是输入还是输出,都是通过该口的数据寄存器进行的,如果该端口定义为输出端口,那么可以向GPnDAT的相应位写数据。如果该端口定义为输人端端口,那么可以从GPnDAT的相应位读出数据。 端口上拉寄存器控制每个端口组上拉电阻的使能/禁止。如果上拉寄存器某一位为0,则相应的端口上拉电阻被使能,该位做基本输入/输出使用,即第1功能;如果上拉寄存器某一位是1,则相应的端口上拉电阻被禁止,该位做第2功能使用。 5, rGPBCON=rGPBCON& 0xFFFFFC∣1; //蜂鸣器配置,PB1口接蜂鸣器,输出delay(1000); rGPBDAT & = 0xFFFFFE; //蜂鸣器响,低电平有效 rGPBDAT∣=1; // 蜂鸣器停

简单微处理器的设计

简单微处理器的设计 Revised as of 23 November 2020

简单微处理器的设计摘要:本课程设计采用EDA技术设计简单微处理器。系统设计采用自顶向下的设计方法。它由数的输入,数的比较,数的交换和结果输出四部分组成。系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编程、时序仿真并分析。系统结构简单,使用方便,功能齐全,精度高,具有一定的应用价值。 关键词:处理器;输入;比较;交换 目录

1 引言 随着社会的发展,科学技术也在不断的进步。特别是计算机产业,可以说是日新月异,而处理器,作为计算机中的一个重要部分,其性能从很大程度上决定了计算机的性能。本设计介绍的简单微处理器,要求具有以下验证程序所要求的功能:输入包含10个整数(无符号数)的数组M,按从小到大的顺序输出这10个数。 课题设计的背景、目的 微处理器技术的发展是与微电子技术即大规模集成电路技术的发展分不开的。微电子技术以每18个月集成度提高一倍的速度迅速发展。20世纪80年代初,主要是16位微处理器8086/8088。1985年推出了80386微处理器,完成了16位体系结构向32位体系结构的转变。1989年80486出现了。80486的设计目标是提高指令执行速度和支持多处理器系统。80486在芯片内部增加一个8KB的高速缓冲存储器(cache),还增加了相当于80387的浮点部件(FPU),在基本指令的实现上,采用硬布线逻辑而不是微程序技术。1993年3月,Intel公司推出了第一代“奔腾”微处理器(Pentium),微处理器技术发展进入了一个新的阶段。到目前为止,“奔腾”已有四代产品。“奔腾”的设计思想是把如何提高微处理器内部指令执行的并行性作为主导。指令执行的并行性越好,微处理器的性能就越高。 本次设计的目的就是了解并掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,学习VHDL基本单元电路的综合设计应用。通过对实用电子称的设计,巩固和综合运用所学课程,理论联系实际,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。通过课程设计深入理解VHDL语言的精髓,达到课程设计的目标。 课程设计的内容

微处理器系统设计.

功能描述: 设计一个带简单I/O接口电路的多周期RISC处理器设计方案,并在FPGA上进行验证。验证题目为设计流水灯的样式为: 00000000->00000001->00000011->00000111->00001111->0011111->01111111->11111111->00000000,切换间隔为1秒。 RISC处理器简介 中央处理器(CPU,Central Processing Unit)是计算机的核心部件。计算机进行信息处理可分为两个步骤: (1)将数据和程序(即指令序列)输入到计算机的存储器中; (2)从第一条指令的地址起开始执行该程序,得到所需结果,结束运行。CPU的作用是协调并控制计算机的各个部件并执行程序的指令序列,使其有条不紊地进行。因此它必须具有以下基本功能: ◆取指令——当程序已在存储器中时,首先根据程序入口地址取出一条程序,为此要发出指令地址和控制信号。 ◆分析指令——即指令译码,这是对当前取得的指令进行分析,指出它要求什么操作,并产生相应的操作控制命令。 ◆执行指令——根据分析指令时产生的“操作命令”形成相应的操作控制信号序列,通过运算器、存储器及输入/输出设备的执行,实现每条指令的功能,其中包括对运算结果的处理及下条指令地址的形成。 将CPU的功能进一步细化,可概括如下: (1)能对指令进行译码并执行规定的动作; (2)可以进行算术和逻辑运算; (3)能与存储器和外设交换数据; (4)提供整个系统所需要的控制。 尽管各种CPU的性能指标和结构细节各不相同,但它们所能完成的基本功能相同。由功能分析可知,任何一种CPU内部结构至少应包含下面这些部件: (1)算术逻辑运算部件(ALU); (2)累加器或寄存器; (3)程序计数器; (4)指令寄存器和译码器; (5)时序和控制部件。 从实现的途径看,RISC处理器与一般的CPU的不同之处在于:它的时序控制信号形成部件是用硬布线逻辑实现的而不是采用微程序控制的方式。所谓硬布线逻辑也就是用触发器和逻辑门直接连线所构成的状态机和相应的组合逻辑,故产生控制序列的速度比用微程序控制方式快得多,因为这样做

微处理器系统结构与嵌入式系统设计答案

“微处理器系统结构与嵌入式系统设计”第一章习题解答 1.2 以集成电路级别而言,计算机系统的三个主要组成部分是什么? 中央处理器、存储器芯片、总线接口芯片 1.3 阐述摩尔定律。 每18个月,芯片的晶体管密度提高一倍,运算性能提高一倍,而价格下降一半。 1.5 什么是SoC?什么是IP核,它有哪几种实现形式? SoC:系统级芯片、片上系统、系统芯片、系统集成芯片或系统芯片集等,从应用开发角度出发,其主要含义是指单芯片上集成微电子应用产品所需的所有功能系统。 IP核:满足特定的规范和要求,并且能够在设计中反复进行复用的功能模块。它有软核、硬核和固核三种实现形式。 1.8 什么是嵌入式系统?嵌入式系统的主要特点有哪些? 概念:以应用为中心,以计算机技术为基础,软硬件可裁剪,适应应用系统对功能、可靠性、成本、体积和功耗的严格要求的专用计算机系统,即“嵌入到应用对象体系中的专用计算机系统”。 特点:1、嵌入式系统通常是面向特定应用的。 2、嵌入式系统式将先进的计算机技术、半导体技术和电子技术与各个行业的具体应用相结合的产物。 3、嵌入式系统的硬件和软件都必须高效率地设计,量体裁衣、去除冗余,力争在同样的硅片面积上实现更高的性能。 4、嵌入式处理器的应用软件是实现嵌入式系统功能的关键,对嵌入式处理器

系统软件和应用软件的要求也和通用计算机有以下不同点。 ①软件要求固体化,大多数嵌入式系统的软件固化在只读存储器中; ②要求高质量、高可靠性的软件代码; ③许多应用中要求系统软件具有实时处理能力。 5、嵌入式系统和具体应用有机的结合在一起,它的升级换代也是和具体产品同步进行的,因此嵌入式系统产品一旦进入市场,就具有较长的生命周期。 6、嵌入式系统本身不具备自开发能力,设计完成以后用户通常也不能对其中的程序功能进行修改,必须有一套开发工具和环境才能进行开发。 第二章习题答案 2.2 完成下列逻辑运算 (1)101+1.01 = 110.01 (2)1010.001-10.1 = 111.101 (3)-1011.0110 1-1.1001 = -1100.1111 1 (4)10.1101-1.1001 = 1.01 (5)110011/11 = 10001 (6)(-101.01)/(-0.1) = 1010.1 2.3 完成下列逻辑运算 (1)1011 0101∨1111 0000 = 1111 0101 (2)1101 0001∧1010 1011 = 1000 0001 (3)1010 1011⊕0001 1100 = 1011 0111 2.4 选择题 (1)下列无符号数中最小的数是( A )。 A.H (1,1011,0101) (01A5)B.B

相关主题
文本预览
相关文档 最新文档