当前位置:文档之家› 通信工程实验报告

通信工程实验报告

通信工程实验报告
通信工程实验报告

班级:通信2012-04班学号:20122211

姓名:刘涛

实验一:FPGA实验_BDPSK调制解调器设计

一、实验目的

⒈学习BDPSK 原理的硬件实现方法。

⒉学习用VerilogHDL 硬件描述语言建模时序逻辑电路的能力。

二、实验报告要求

由于在 BPSK 解调中,相干载波恢复可能出现相位模糊,所以在实际应用中经常采用 BDPSK(二进制差分相移键控)方式。BDPSK 方式不需要在解调端恢复相干参考信号,非相干接收机容易制造而且成本低,因此在无线通信系统中被广泛使用。在 BDPSK 系统中,输入的二进制序列先进行差分编码,然后再用BPSK 调制器调制。

⒈ BDPSK 调制系统的结构图。(Microsoft Visio 中截图)

⒉ BDPSK 调制器模块的VerilogHDL 代码及注释。

⒊功能仿真和时序仿真结果的波形。(ModelSim 中截图)

⒋(选做)开发板验证后的波形。(示波器上拍照)

三、实验结果

1、调制器和解调器的外引脚图和内部结构图

图1.1 调制器的外部引脚

图1.2 调制器的内部结构

图1.3 解调器的外部引脚

图1.4 解调器的内部结构

2、调制器模块和解调器模块的VerilogHDL 代码及注释

(1)差分编码

module chafen( reset_n,clk,a,b );

input reset_n;

input clk;

input a;

output b;

reg c;

assign b = a ^ c ;

always @( posedge clk or negedge reset_n )

if(!reset_n)

c <= 0 ;

else

begin

c <= b;

end

Endmodule

(2)控制器

module Controller(

clk,

reset_n,

data,

address,

clk_DA,

blank_DA_n,

sync_DA_n

);

input clk ;

input reset_n ;

input data ;

output [ 4 : 0 ] address ;

output clk_DA ; //数模转换器控制信号

output blank_DA_n ; //数模转换器控制信号

output sync_DA_n ; //数模转换器控制信号

reg [ 4 : 0 ] address_data;

reg c ;

always @( posedge clk or negedge reset_n )

begin

if(!reset_n)

c<=1'bz;

else

c<=data;

end

always @( posedge clk or negedge reset_n )

begin

if(!reset_n)

address_data<=5'b00000;

else if(c==data)

address_data<=address_data+5'b00001;

else

begin

case(data)

1'b0:

address_data<=5'b00000;

1'b1:

address_data<=5'b10000;

default:

address_data<=5'bzzzzz;

endcase

end

end

assign address = address_data;

assign clk_DA = clk;

assign blank_DA_n = 1'b1;

assign sync_DA_n = 1'b1;

Endmodule

(3)查找表

module LookUpTable(

clk,

reset_n,

address,

dataout,

);

input clk;

input reset_n;

input [ 4 : 0 ] address;

output [ 7 : 0 ] dataout;

reg [ 7 : 0 ] LUT [ 0 : 31 ];

always @( posedge clk or negedge reset_n )

begin

if( !reset_n )

begin

//用C编程计算出的查找表采样值填在这里

LUT[ 0 ] <= 8'h7f;//0°

LUT[ 1 ] <= 8'h97;

LUT[ 2 ] <= 8'haf;

LUT[ 3 ] <= 8'hc5;

LUT[ 4 ] <= 8'hd9;

LUT[ 5 ] <= 8'he8;

LUT[ 6 ] <= 8'hf4;

LUT[ 7 ] <= 8'hfc;

LUT[ 8 ] <= 8'hfe;

LUT[ 9 ] <= 8'hfc;

LUT[ 10 ] <= 8'hf5;

LUT[ 11 ] <= 8'hea;

LUT[ 12 ] <= 8'hda;

LUT[ 13 ] <= 8'hc7;

LUT[ 14 ] <= 8'hb2;

LUT[ 15 ] <= 8'h9a;

LUT[ 16 ] <= 8'h81;//180°

LUT[ 17 ] <= 8'h69;

LUT[ 18 ] <= 8'h51;

LUT[ 19 ] <= 8'h3b;

LUT[ 20 ] <= 8'h27;

LUT[ 21 ] <= 8'h17;

LUT[ 22 ] <= 8'hb ;

LUT[ 23 ] <= 8'h3 ;

LUT[ 24 ] <= 8'h0 ;

LUT[ 25 ] <= 8'h1 ;

LUT[ 26 ] <= 8'h8 ;

LUT[ 27 ] <= 8'h13;

LUT[ 28 ] <= 8'h22;

LUT[ 29 ] <= 8'h35;

LUT[ 30 ] <= 8'h4a;

LUT[ 31 ] <= 8'h62;

end

end

assign dataout = LUT[ address ];

endmodule

⒊ 功能仿真和时序仿真结果的波形

图1.5 功能仿真

图1.6 时序仿真

实验二MATLAB实验_OFDM误码率仿真(AWGN)

一、实验目的:

1、掌握OFDM 的基本原理。

2、掌握用Matlab 搭建OFDM 系统的基本方法

3、用MATLAB 进行OFDM 系统在AWGN 信道下误码率分析。

二、实验内容

(1)发送部分

①对产生的 0 、1 比特流进行 16QAM 调制,映射到星座图上,即将数据变

为复平面内的数据;

②将变换后的数据进行串并转换进行 IFFT 变换后在进行并串转换。

为了避免多径造传播成的 IS I 干扰,要对每一个 OFD M 符号加循环前缀( CP )。为了避免码间干扰,CP 中的信号与对应 OFDM 符号尾部宽度为 Tg 的

部分相同,Tg 为人为设定。本实验中为 OFDM 符号长度的 1/4 。

③加保护间隔。为了最大限度的消除码间干扰,该保护间隔一般大于多径信道

的最大时延,这样一个符号的多径干扰就不会对下一个符号造成干扰。将产生

的 OFDM 符号组成一个

串行序列,即组帧。

(2)信道部分:

AWGN 信道

(3)接收部分:

①解帧,将接收的序列分解为一个个独立的 OFDM 符号。

②去掉保护间隔,将加在每个符号前的保护间隔去掉。

③将去掉保护间隔的 OFDM 符号进行串并转换,为下一步快速傅里叶变换做

准备。

④将并行的信号进行快速傅里叶变换得到对应的时域信号。

⑤进行并串转换,再进行 QAM 解调,解调之前要进行均衡处理。解调之后得到

之前生成的 0 、 1 比特流。

设计仿真方案,得到在数据传输过程中不同信噪比的 BER 性能结论,要求得到

的 BER

曲线较为平滑。

四、实验报告要求

所有程序完整的源代码(.m 文件)以及注释。

仿真结果。对于所有的图形结果(包括波形与仿真曲线等),将图形保存成.tif 或者.emf 的格式并插入 word 文档。

三、实验结果

1、所有程序完整的源代码(.m文件)以及注释

clear all;

close all;

fprintf('OFDM基带系统\n\n');

%%%%%%%%%%%%%%%%%%%%%%%%参数设置%%%%%%%%%%%%%%%%%%%%%%%

carrier_count=256; %FFT数目

number_symbol=1500; %OFDM符号数目()

Guard_count=carrier_count/4; %循环前缀

Pilot_interval=15; %导频间隔

Pilot_count=ceil(number_symbol/Pilot_interval); %每一行导频的个数modulation_mode=16; %16QAM

SNR=-2:35;

k=log2(modulation_mode);

%%%%%%%%%%%%%%%%%%%%%%%%主程序循环%%%%%%%%%%%%%%%%%%%%%%%

for number_snr=1:length(SNR)

fprintf('\n\n\n仿真信噪比',SNR(number_snr)); %%%%%%%%%%%%%%%%%%%%产生发送的随机序列%%%%%%%%%%%%%%%%%%%%

Source_Bits=randi([0 1],1,k*(carrier_count*number_symbol));

%%%%%%%%%%%%%%%%%%%%%%%%16QAM调制%%%%%%%%%%%%%%%%%%%%%%%%

QAM_16_IQ = [-3 -1 3 1];

QAM_input_I =

QAM_16_IQ(Source_Bits(1:4:end)*2+Source_Bits(2:4:end)+1);%00:-3 01:-1 11:1 10:3

QAM_input_Q =

QAM_16_IQ(Source_Bits(3:4:end)*2+Source_Bits(4:4:end)+1);%00:-3 01:-1 11:1 10:3

Modulated_Sequence_Tx1 = QAM_input_I + 1i * QAM_input_Q;

%%%%%%%%%%%%%%%%%%%%%串并变换%%%%%%%%%%%%%%%%%%%%%%%%%%%%

Modulated_Sequence_Tx=reshape(Modulated_Sequence_Tx1,carrier_count,nu mber_symbol);

%%%%%%%%%%%%%%%%%%%%%产生已知的导频序列%%%%%%%%%%%%%%%%%%%

Pilot_symbols=(round(rand(carrier_count,Pilot_count))*2-1);

%%%%%%%%%%%%%%%%%%%%%%%%导频符号的插入%%%%%%%%%%%%%%%%%%%%%%%

for kk=1:Pilot_count

Modulated_Sequence_Tx_insert(:,(kk-1)*(Pilot_interval+1)+1)=Pilot_sym bols(:,kk);

Modulated_Sequence_Tx_insert(:,(kk-1)*(Pilot_interval+1)+2:(kk-1)*(Pi lot_interval+1)+16)=Modulated_Sequence_Tx(:,(kk-1)*Pilot_interval+1:( kk-1)*Pilot_interval+15);

end

%%%%%%%%%%%%%%%%%%%%%%%%IFFT变换%%%%%%%%%%%%%%%%%%%%%%%%%%

Time_signal_Tx1=ifft(Modulated_Sequence_Tx_insert); %%%%%%%%%%%%%%%%%%%%%%%%加循环前缀%%%%%%%%%%%%%%%%%%%%%%%

Time_signal_Tx_cp1(1:Guard_count,:)=Time_signal_Tx1(carrier_count-Gua rd_count+1:carrier_count,:);

Time_signal_Tx_cp1(Guard_count+1:Guard_count+carrier_count,:)=Time_si gnal_Tx1(1:carrier_count,:);

%%%%%%%%%%%%%%%%%%%%%并串变换%%%%%%%%%%%%%%%%%%%%%%%%%%%%

Time_signal_Tx_cp=reshape(Time_signal_Tx_cp1,1,(Guard_count+carrier_c ount)*(number_symbol+Pilot_count));

%%%%%%%%%%%%%%%%%%%%%%%%高斯信道和瑞利信道%%%%%%%%%%%%%%%%%%%%%%%

Time_signal_Tx_cp_channel1=awgn(Time_signal_Tx_cp,SNR(number_snr),'me asured');

%%%%%%%%%%%%%%%%%%%%%串并变换%%%%%%%%%%%%%%%%%%%

Time_signal_Tx_cp_channel=reshape(Time_signal_Tx_cp_channel1,carrier_ count+Guard_count,number_symbol+Pilot_count);

%%%%%%%%%%%%%%%%%%%%%%%%信号接收去循环前缀%%%%%%%%%%%%%%%%%%%%%%%

Time_signal_Rx_channel(1:carrier_count,:)=Time_signal_Tx_cp_channel(G uard_count+1:carrier_count+Guard_count,:);

%%%%%%%%%%%%%%%%%%%%%%%%FFT变换%%%%%%%%%%%%%%%%%%%%%%%

frequence_signal_Rx_channel1=fft(Time_signal_Rx_channel); %%%%%%%%%%%%%%%%%%%%%%%%获取导频符号处的序列信道估计%%%%%%%%%%%%%%%%%%%%%%%

for kk=1:Pilot_count

Pilot_symbols_channel(:,kk)=frequence_signal_Rx_channel1(:,(kk-1)*(Pi lot_interval+1)+1);

frequence_signal_Rx_channel(:,(kk-1)*Pilot_interval+1:(kk-1)*Pilot_in terval+15)=frequence_signal_Rx_channel1(:,(kk-1)*(Pilot_interval+1)+2 :(kk-1)*(Pilot_interval+1)+16);

end

%%%%%%%%%%%%%%%%%%%%%并串变换%%%%%%%%%%%%%%%%%%%

frequence_signal_Rx_channel_desert=reshape(frequence_signal_Rx_channe l,1,(carrier_count)*number_symbol); %%%%%%%%%%%%%%%%%%%%%%%%16QAM解调%%%%%%%%%%%%%%%%%%%%%%%

QAM_input_I = real(frequence_signal_Rx_channel_desert);

QAM_input_Q = imag(frequence_signal_Rx_channel_desert);

for a=1:(carrier_count*number_symbol)

if QAM_input_I(a) <= -2

receive_Bits(a*k-3) = 0; %,a*k-1,a*k

receive_Bits(a*k-2) = 0;

elseif (QAM_input_I(a) > -2) && (QAM_input_I(a) <= 0)

receive_Bits(a*k-3) = 0;

receive_Bits(a*k-2) = 1;

elseif (QAM_input_I(a) > 0) && (QAM_input_I(a) <= 2)

receive_Bits(a*k-3) = 1;

receive_Bits(a*k-2) = 1;

else receive_Bits(a*k-3) = 1;

receive_Bits(a*k-2) = 0;

end

end

for a=1:(carrier_count*number_symbol)

if QAM_input_Q(a) <= -2 % & QAM_input_Q(a) <= -2

receive_Bits(a*k-1) = 0; %,a*k-1,a*k

receive_Bits(a*k) = 0;

elseif (QAM_input_Q(a) > -2) && (QAM_input_Q(a) <= 0)

receive_Bits(a*k-1) = 0;

receive_Bits(a*k) = 1;

elseif (QAM_input_Q(a) > 0) && (QAM_input_Q(a) <= 2)

receive_Bits(a*k-1) = 1;

receive_Bits(a*k) = 1;

else receive_Bits(a*k-1) = 1;

receive_Bits(a*k) = 0;

end

end

%%%%%%%%%%%%%%%%%%%%%%%%误码率计算%%%%%%%%%%%%%%%%%%%%%%% [Num,Rat]=biterr(Source_Bits,receive_Bits); biterr_total(number_snr)=Rat;

fprintf('\n\n 误码率为%f\n\n', biterr_total(number_snr)); end

%%%%%%%%%%%%%%%%%%%%%%%%画图%%%%%%%%%%%%%%%%%%%%%%% figure

semilogy(SNR, biterr_total,'bp-','LineWidth',2); axis([-2 35 10^-5 0.9]) xlabel('SNR'); ylabel('BER');

title('OFDM 基带系统(高斯信道)');

2、仿真结果

-4

-3-2-101234

-4-3-2-10123

416QAM 调制后星座图

010002000

3000400050006000

70008000

-0.5

0.5A m p l i t u d e (v o l t s )

Time (samples)

循环前后缀不叠加的OFDM Time Signal

010002000

300040005000

600070008000

-0.5

0.5A m p l i t u d e (v o l t s )

Time (samples)

循环前后缀叠加的OFDM Time Signal

00.050.1

0.150.20.250.30.350.40.450.5

M a g n i t u d e (d B )

Normalized Frequency (0.5 = fs/2)

加窗的发送信号频谱

00.20.40.60.81输出待调制的二进制比特

0.5

1

接收解调后的二进制比特流

X Y 坐标接收信号的星座图

90

270

1800

极坐标下的接收信号的星座图

图2.1 仿真结果

实验三、MATLAB实验_OFDM误码率仿真(衰落)

一、实验目的:

1、了解瑞利信道产生的原因及其特征。

2、用MATLAB 进行OFDM 系统在瑞利信道下误码率分析。

二、实验报告要求

1. 所有程序完整的源代码(.m 文件)以及注释。

2. 仿真结果。对于所有的图形结果(包括波形与仿真曲线等),将图形保存成.tif 或者.emf

的格式并插入word 文档。

三、实验结果

1、所有程序完整的源代码(.m文件)以及注释

clear all;

close all;

fprintf('OFDM基带系统\n\n');

%%%%%%%%%%%%%%%%%%%%%%%%参数设置%%%%%%%%%%%%%%%%%%%%%%%

carrier_count=256; %FFT数目

number_symbol=1500; %OFDM符号数目()

Guard_count=carrier_count/4; %循环前缀

Pilot_interval=15; %导频间隔

Pilot_count=ceil(number_symbol/Pilot_interval); %每一行导频的个数modulation_mode=16; %16QAM

SNR=-2:35;

k=log2(modulation_mode);

%%%%%%%%%%%%%%%%%%%%%%%%主程序循环%%%%%%%%%%%%%%%%%%%%%%%

for number_snr=1:length(SNR)

fprintf('\n\n\n仿真信噪比',SNR(number_snr)); %%%%%%%%%%%%%%%%%%%%产生发送的随机序列%%%%%%%%%%%%%%%%%%%%

Source_Bits=randi([0 1],1,k*(carrier_count*number_symbol)); %%%%%%%%%%%%%%%%%%%%%%%%16QAM调制%%%%%%%%%%%%%%%%%%%%%%%%

QAM_16_IQ = [-3 -1 3 1];

QAM_input_I =

QAM_16_IQ(Source_Bits(1:4:end)*2+Source_Bits(2:4:end)+1);%00:-3 01:-1 11:1 10:3

QAM_input_Q =

QAM_16_IQ(Source_Bits(3:4:end)*2+Source_Bits(4:4:end)+1);%00:-3 01:-1 11:1 10:3

Modulated_Sequence_Tx1 = QAM_input_I + 1i * QAM_input_Q; %%%%%%%%%%%%%%%%%%%%%串并变换%%%%%%%%%%%%%%%%%%%%%%%%%%%%

Modulated_Sequence_Tx=reshape(Modulated_Sequence_Tx1,carrier_count,nu mber_symbol);

%%%%%%%%%%%%%%%%%%%%%产生已知的导频序列%%%%%%%%%%%%%%%%%%%

Pilot_symbols=(round(rand(carrier_count,Pilot_count))*2-1); %%%%%%%%%%%%%%%%%%%%%%%%导频符号的插入%%%%%%%%%%%%%%%%%%%%%%%

for kk=1:Pilot_count

Modulated_Sequence_Tx_insert(:,(kk-1)*(Pilot_interval+1)+1)=Pilot_sym bols(:,kk);

Modulated_Sequence_Tx_insert(:,(kk-1)*(Pilot_interval+1)+2:(kk-1)*(Pi lot_interval+1)+16)=Modulated_Sequence_Tx(:,(kk-1)*Pilot_interval+1:( kk-1)*Pilot_interval+15);

end

%%%%%%%%%%%%%%%%%%%%%%%%IFFT变换%%%%%%%%%%%%%%%%%%%%%%%%%%

Time_signal_Tx1=ifft(Modulated_Sequence_Tx_insert); %%%%%%%%%%%%%%%%%%%%%%%%加循环前缀%%%%%%%%%%%%%%%%%%%%%%%

Time_signal_Tx_cp1(1:Guard_count,:)=Time_signal_Tx1(carrier_count-Gua rd_count+1:carrier_count,:);

Time_signal_Tx_cp1(Guard_count+1:Guard_count+carrier_count,:)=Time_si gnal_Tx1(1:carrier_count,:);

%%%%%%%%%%%%%%%%%%%%%并串变换%%%%%%%%%%%%%%%%%%%%%%%%%%%%

Time_signal_Tx_cp2=reshape(Time_signal_Tx_cp1,1,(Guard_count+carrier_ count)*(number_symbol+Pilot_count)); %%%%%%%%%%%%%%%%%%%%%%%%高斯信道和瑞利信道%%%%%%%%%%%%%%%%%%%%%%%

raysign=raylrnd(1,1,(number_symbol+Pilot_count)*(Guard_count+carrier_ count));

Time_signal_Tx_cp=Time_signal_Tx_cp2.*raysign;

Time_signal_Tx_cp_channel2=awgn(Time_signal_Tx_cp,SNR(number_snr),'me asured');

Time_signal_Tx_cp_channel1=real(Time_signal_Tx_cp_channel2)./raysign+ 1i*(imag(Time_signal_Tx_cp_channel2)./raysign); %%%%%%%%%%%%%%%%%%%%%串并变换%%%%%%%%%%%%%%%%%%%

Time_signal_Tx_cp_channel=reshape(Time_signal_Tx_cp_channel1,carrier_ count+Guard_count,number_symbol+Pilot_count);

%%%%%%%%%%%%%%%%%%%%%%%%信号接收去循环前缀%%%%%%%%%%%%%%%%%%%%%%%

Time_signal_Rx_channel(1:carrier_count,:)=Time_signal_Tx_cp_channel(G uard_count+1:carrier_count+Guard_count,:);

%%%%%%%%%%%%%%%%%%%%%%%%FFT变换%%%%%%%%%%%%%%%%%%%%%%%

frequence_signal_Rx_channel1=fft(Time_signal_Rx_channel);

%%%%%%%%%%%%%%%%%%%%%%%%获取导频符号处的序列信道估计%%%%%%%%%%%%%%%%%%%%%%%

for kk=1:Pilot_count

Pilot_symbols_channel(:,kk)=frequence_signal_Rx_channel1(:,(kk-1)*(Pi lot_interval+1)+1);

frequence_signal_Rx_channel(:,(kk-1)*Pilot_interval+1:(kk-1)*Pilot_in terval+15)=frequence_signal_Rx_channel1(:,(kk-1)*(Pilot_interval+1)+2 :(kk-1)*(Pilot_interval+1)+16);

end

%%%%%%%%%%%%%%%%%%%%%并串变换%%%%%%%%%%%%%%%%%%%

frequence_signal_Rx_channel_desert=reshape(frequence_signal_Rx_channe l,1,(carrier_count)*number_symbol);

%%%%%%%%%%%%%%%%%%%%%%%%16QAM解调%%%%%%%%%%%%%%%%%%%%%%%

QAM_input_I = real(frequence_signal_Rx_channel_desert);

QAM_input_Q = imag(frequence_signal_Rx_channel_desert);

for a=1:(carrier_count*number_symbol)

if QAM_input_I(a) <= -2

receive_Bits(a*k-3) = 0; %,a*k-1,a*k

receive_Bits(a*k-2) = 0;

elseif (QAM_input_I(a) > -2) && (QAM_input_I(a) <= 0)

receive_Bits(a*k-3) = 0;

receive_Bits(a*k-2) = 1;

elseif (QAM_input_I(a) > 0) && (QAM_input_I(a) <= 2)

receive_Bits(a*k-3) = 1;

receive_Bits(a*k-2) = 1;

else receive_Bits(a*k-3) = 1;

receive_Bits(a*k-2) = 0;

end

end

for a=1:(carrier_count*number_symbol)

if QAM_input_Q(a) <= -2 % & QAM_input_Q(a) <= -2

receive_Bits(a*k-1) = 0; %,a*k-1,a*k

receive_Bits(a*k) = 0;

elseif (QAM_input_Q(a) > -2) && (QAM_input_Q(a) <= 0)

receive_Bits(a*k-1) = 0;

receive_Bits(a*k) = 1;

elseif (QAM_input_Q(a) > 0) && (QAM_input_Q(a) <= 2)

receive_Bits(a*k-1) = 1;

receive_Bits(a*k) = 1;

else receive_Bits(a*k-1) = 1;

receive_Bits(a*k) = 0;

end

end

%%%%%%%%%%%%%%%%%%%%%%%%误码率计算%%%%%%%%%%%%%%%%%%%%%%%

[Num,Rat]=biterr(Source_Bits,receive_Bits);

biterr_total(number_snr)=Rat;

fprintf('\n\n误码率为%f\n\n', biterr_total(number_snr)); end

%%%%%%%%%%%%%%%%%%%%%%%%画图%%%%%%%%%%%%%%%%%%%%%%%

figure

semilogy(SNR, biterr_total,'bp-','LineWidth',2);

axis([-2 35 10^-5 0.9])

xlabel('SNR');

ylabel('BER');

title('OFDM基带系统(高斯信道)');

2、仿真结果

网络互联技术实验报告

网络互联实验报告 作者:xx通信工程(1)班第二组 组长:xx 组员:xxx、xxx、xxx、xxx、xxx、xxx、xxx、xxx、xx、xx 计算机与信息学院 2011年12月

目录 实验二:路由器与交换机配置技术 (3) 一、配置交换机设备 (3) 二、配置路由器设备 (5) 实验四:生成树与以太网链路聚合 (8) 配置端口聚合提供冗余备份链路 (8) 实验六:交换机端口安全与访问控制列表 (14) 一、配置标准访问控制网络流量 (14) 二、配置扩展访问列表保护服务器安全 (19) 三、配置命令ACL保护办公网安全 (24) 实验七:无线网络技术 (29) 一、安装无线网卡 (29) 二、组建Ad-Hoc模式无线局域网 (30) 三、组建Infrastructure无线局域网 (37) 四、计算机科学技术学院无线项目施工 (45)

实验二:路由器与交换机配置技术 (xxx xxx xxx) 一、路由器的配置 【实验目的】 掌握路由器命令,理解路由器各种不同工作模式之间的切换技术【实验设备】 路由器设备(1台)、配置主机(1台)、配置线(1条) 【实验拓扑】 【实验步骤】 (1)路由器命令行操作模式的进入 Red-Giant>enable !进入特权模式 Red-Giant# Red-Giant#configure terminal !进入全局配置模式 Red-Giant(config)# Red-Giant(config)#interface fastethernet 1/0 !进入路由器F1/0接口模式Red-Giant(config-if) Red-Giant(config-if)#exit !退回上一级操作模式 Red-Giant(config)# Red-Giant(config-if)#end !直接退回特权模式 Red-Giant#

软件开发述职报告

软件开发述职报告 篇一:软件工程师年度述职报告 XX 年度员工述职报告 人魅力所在,所以注重自己的服装礼仪,言谈举止还是很重要的。做事,虽然我们和客户直接对 话,我们就像客户与公司的传话筒,一件事做了没效果和一件事没做是两个概念。不会做不代表 我们不做,态度是第一,这会给客户留下很好的印象。 第二:作为软件技术实施人员,技术不是万能的,没有技术也是万万不能的。作为软件工程 师,及时有效的为客户解答各种问题,需要的是强硬的业务能力和技术能力。光有技术,不会沟 通,只会给客户留下刻板的印象,光有业务,没有技术,只会影响问题解决的时效性。所以提升 自己的业务能力和技术能力是很有必要性的。 第三:工作要脚踏实地、一步一步,切不可太过心急。作为实施人员,可能同一时间段需要 处理的问题很多。这个时候就需要分轻重缓急,把事情分等级处理,一件一件处理,不要这件事 情处理一下,再处理一下另外一件事,把自己都弄乱了。还搞得自己身心疲惫。需要按照计划, 逐步有效的完成。

第四:学会从整体看问题。因为我们的平台系统是贯穿财政这个业务流程。所以在处理问题 需要从整体把握,对于中间环节操作,需要考虑到源头和末节,切不可只关注当前环节。 第五:处理问题一定要以公司利益为重,坚持立场、把握好原则。虽然这点有时会和客户的 利益起冲突,这时就需要委婉的拒绝。 第六:与同事的交流要及时要充分,尤其是项目组内成员。其实现在的晨会对我们加强内部 沟通,解决问题提供了场所和时间。 第七:学会吃亏。人们都说吃亏是福,但是作为吃亏的人有时想想自己挺傻的。虽然去年6 月份从淮南市调回老家六安市。本以为自己离家近了,可以多点时间多陪陪家人和孩子,但是由 于工作的特殊性,还是需要出差支持等。总感觉为什么片区内只有我一个跑来跑去,没有固定实 施地点。但是仔细想想,作为片区的老员工,需要为片区做表率作用,第二,片区内每个人的工 作性质都不一样,唯一能调动的人员也就是我自己。多跑跑,多学习学习未尝不是一件好事。就 像那首歌唱的那样,苦水喝过,蜜饯也会给。 去年自己也取得了进步,对于新模块如电子化、单位核

通信技术综合实验报告

综合实验报告 ( 2010-- 2011年度第二学期) 名称:通信技术综合实验题目:SDH技术综合实验院系:电子与通信工程系班级: 学号: 学生姓名: 指导教师: 设计周数:两周 成绩: 日期:2011年 6 月

A C B D S1 P1S1 P1 主用 备用 AC AC 环形保护组网配置实验 一、实验的目的与要求 1、实验目的: 通过本实验了解2M 业务在环形组网方式时候的配置。 2、实验要求: 在SDH1、SDH2、SDH3配置成环网,开通SDH2到SDH3两个节点间的2M 业务,并提供环网保护机制。 1)掌握二纤单向保护环的保护机理及OptiX 设备的通道保护机理。 2)掌握环形通道保护业务配置方法。采用环形组网方式时,提供3套SDH 设备,要求配置成虚拟单向通道保护环。 3)了解SDH 的原理、命令行有比较深刻,在做实验之前应画出详细的实际网络连接图,提交实验预习报告,要设计出实验实现方案、验证方法及具体的步骤。 4)利用实验平台自行编辑命令行并运行验证实验方案,进行测试实验是否成功。 二、实验正文 1.实验原理 单向通道保护环通常由两根光纤来实现,一根光纤用于传业务信号,称S 光纤;另一根光纤传相同的信号用于保护,称P 光纤。单向通道保护环使用“首端桥接,末端倒换”结构如下图所示: 业务信号和保护信号分别由光纤S1和P1携带。例如,在节点A ,进入环以节点C 为目的地的支路信号(AC )同时馈入发送方向光纤S1和P1。其中,S1光纤按ABC 方向将业务信号送至节点C ,P1光纤按ADC 方向将同样的信号作为保护信号送至分路节点C 。接收端分路节点C 同时收到两个方向支路信号,按照分路通道信号的优劣决定选其中一路作为分路信号,即所谓末端选收。正常情况下,以S1光纤送来信号为主信号。同时,从C 点插入环以节点A 为目的地的支路信号(CA)按上述同样方法送至节点A 。

数字通信技术实验指导讲解

数字通信技术 实验指导书 电子与信息工程学院 2015年6月

实验一分组交织编码的MATLAB实现 1 实验目的 1、掌握分组交织编码的原理; 2、进一步学习Matlab软件的使用和编程; 3、提高独立设计实验的能力。 2 实验要求 1、课前预习实验,实验原理必须论述清楚; 2、实验报告中列出所有的Matlab源程序并解释代码; 3、实验结果(波形图)必须粘贴在实验报告中; 4、实验报告上写上自己的学号和姓名。 3 实验代码与结果 1、长度≤N的长突发错误通过解交织被离散为随机错误,错误码元之间的最小间隔为M。 s1=[1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24] x1=reshape(s1,4,6) %交织器的输入按列写入 x1(2,4)=0;x1(2,5)=0; x1(2,6)=0;x1(3,1)=0;x1(3,2)=0 %产生长度为5的长突发错误 s2=reshape(x1',1,24) %交织器的输出按行读出 x2=reshape(s2,6,4)' %解交织器的输入按行写入 s3=reshape(x2,1,24) %解交织器的输出按列读出 s3(1,3)=3;s3(1,7)=7; s3(1,14)=14;s3(1,18)=18;s3(1,22)=22 %通过分组码纠正随机错误 a=[s1,s2,s3] %对比三个输出 plot(s1,s2)

2、对于周期性的单个错误(间隔为N),通过解交织后会转化为长度为M的单个长突发错误。 s1=[1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24] x1=reshape(s1,4,6) x1(1,3)=0;x1(2,3)=0; x1(3,3)=0;x1(4,3)=0 s2=reshape(x1',1,24) x2=reshape(s2,6,4)' s3=reshape(x2,1,24) a=[s1,s2,s3] plot(s1,s2)

开发人员述职报告范文

开发人员述职报告范文 研发部述职报告 述职报告 半年以来,在领导及同事的关怀、指导和帮助下,本人开始逐步了解公司各项运作,不断加深企业文化的理解和认同。从我踏入长江的第一天开始,我就对长江充满了信心。这种信心来自公司对我的培养,来自于全体员工精神风貌和朝气蓬勃的企业文化。长江的快速发展,振兴壮大,使我有了坚定的信心并努力地工作着。回顾一下几个月来的工作、反思一下不足、思考一下打算,非常必要和及时。进来公司以后,我在诸多方面得到了学习和锻炼。现将几个月的工作汇报如下,结合自身产品研发设计师工作做一下述职: 一、工作情况的汇报: 本人在这段时间主要做的工作有: 1.修改规范产品研发部产品开发工作流程,缩短产品开发周期,以期提高产品开发效率。 2.修改公司产品包装规范,在多次与供应商讨论和商议的基础上,进一步进行产品包装试验,并形成产品包装的规范性文件。 3.设计开发公司网购产品:持续关注网购家具产品生存态势和流行趋势,并结合我司生产和工艺特点,开发了第一期公司网购产品。该产品已经在淘宝上线,进一步拓宽了公司的市场运营渠道。 4.设计跟进深圳分公司蛇口槟榔园项目:通过前期设计接洽,现场测绘,双方多次设计会议交流,本项目已经打样完毕,

获得了客户认可,参与竞标。 5.平安产品设计优化:通过对平安二三级机构对我司购买产品的汇总分析,对产品结构工艺进行优化改良,目前此项已经初步形成草案,会进一步联合相关部门讨论审议。 二、工作表现和收获: 1、工作表现: a、能够较好地完成本职工作; b、懂得事情轻重缓急,做事较有条理; c、能够较好地完成上级安排的任务; d、能够主动承担责任,积极改正错误,避免类似错误的再次发生; e、与同事相处融洽,能够积极配合及协助其他部门完成工作; 2、工作收获: a、工作敏感度有所提高,能够较积极地向领导汇报工作进度与结果; b、工作适应力逐步增强,对后期安排的工作,现已得心应手。 在工作中最大的体会就是自我能动性,在对公司技术、流程、工艺等各种条件都不熟悉的基础上,首先要加强自己的学习,另外要善于利用外力,多找方法,多尝试。方法总比困难多! 三、半年有哪些体会: 通过几个月的融入和磨合,我基本上适应了公司的工作环境和工作氛围。在这个适应过程中, 我有如下体会:

通信工程竣工资料

工程项目编 号: 建 设 单 位: 监 理 单 位: 施 工 单 位: 20 年 月 竣 工 资 料

目录一 一、资质证书 1、营业执照 2、税务登记证 3、企业资质证书 4、通信工程施工许可证 5、施工协议 二、工程竣工说明 1、工程竣工说明 2、建筑安装工程量总表 三、复测报告 四、施工组织设计 1、安全措施 2、工程资金控制措施 3、技术及质量保证措施 4、工程进度实施措施及工程进度表 5、人员、车辆、工器具安排 6、光缆配盘表及配盘图 7、建筑安装工程量总表 五、开工报告 六、线路工程随工检查验收记录表 1、成端光缆检查验收记录表

2、管道光缆检查验收记录表 3、杆路检查验收记录表(无) 4、架空式、吊线式、钉固式检查验收记录表 5、光缆割接通知单---附:割接方案(无) 6、光缆接续封焊卡 七、工程主要材料目录 产品质量检验及合格证 八、光缆测试记录表 1、中继段测试记录表---曲线图标 2、接地测试记录 3、光缆单盘测试记录 九、工程延期报告(无) 十、工程设计变更单(无) 十一、工程竣工报告 十二、工程交(完)工报告 十三、线路工程验收纪录表及工程质量评定表十四、竣工图纸

工程竣工说明 一、概述: 本工程是根据2015年9月编制的一阶段设计()及中国电信股份有限公司与签订的《中国电信昌吉分公司本地网接入网络工程施工协议》(合同)进行工程施工的。 该工程由以包工不包料方式进行工程承包。 该工程计划于20 15年9月10日开工,20 15年9月20日完工,实际于20 15年9月20日完工。 二、工程建设的目的和必要性: 本工程建设方式为模块局与模块局间传输光缆,要求充分考虑利旧现有光缆资源,避免重复建设,光缆容量的选定要结合当前需求和今后发展需求考虑,要考虑传输网的可扩展性。 三、主要工程量表 20 年月日

网络通信实验报告

网络通信程序设计 实验报告 姓名: 学号: 专业:计算机科学与技术 授课教师:贺刚 完成日期: 2020.5.27

实验一:TCP套接字编程 内容: 1、利用阻塞模型的开发TCP通信客户端程序。 2、在程序中必须处理粘连包和残缺包问题。 3、自定义应用层协议。 4、采用多线程开发技术。 实验代码: 服务器端: #include "iostream.h" #include "initsock.h" #include "vector" using namespace std; CInitSock initSock; // 初始化Winsock库 DWORD WINAPI ThreadProc(LPVOID lpParam); vector sClientVector; int main() { //1 创建套节字 SOCKET sListen = ::socket(AF_INET, SOCK_STREAM, 0); if(sListen == INVALID_SOCKET) { cout<<"Failed socket() "<

数字通信系统设计实验报告

实验1:用 Verilog HDL 程序实现乘法器 1实验要求: (1) 编写乘法器的 Veirlog HDL 程序. (2) 编写配套的测试基准. (3) 通过 QuartusII 编译下载到目标 FPGA器件中进行验证 (4) 注意乘法逻辑电路的设计. 2 试验程序: Module multiplier(input rst,input clk,input [3:0]multiplicand, input [3:0]multiplier,input start_sig,output done_sig,output [7:0]result); reg [3:0]i; reg [7:0]r_result; reg r_done_sig; reg [7:0]intermediate; always @ ( posedge clk or negedge rst ) if( !rst ) begin i<=4'b0; r_result<=8'b0; end else if(start_sig) begin case(i) 0: begin intermediate<={4'b0,multiplicand}; r_result<=8'b0; i<=i+1; end 1,2,3,4: begin if(multiplier[i-1]) begin r_result<=r_result+intermediate; end intermediate<={intermediate[6:0],1'b0}; i<=i+1; end 5: begin r_done_sig<=1'b1;

i<=i+1; end 6: begin r_done_sig<=1'b0; i<=1'b0; end endcase end assign result=r_done_sig?r_result:8'bz; assign done_sig=r_done_sig; endmodule3 测试基准: `timescale 1 ps/ 1 ps module multiplier_simulation(); reg clk; reg rst; reg [3:0]multiplicand; reg [3:0]multiplier; reg start_sig; wire done_sig; wire [7:0]result; /***********************************/ initial begin rst = 0; #10; rst = 1; clk = 1; forever #10 clk = ~clk; end /***********************************/ multiplier U1 ( .clk(clk), .rst(rst), .multiplicand(multiplicand), .multiplier(multiplier), .result(result), .done_sig(done_sig), .start_sig(start_sig) ); reg [3:0]i; always @ ( posedge clk or negedge rst ) if( !rst )

研发人员 述职报告

研发人员述职报告 研发人员述职报告 各位领导及同志你们好! 我负责本公司技术部工作已经四年半的时间了,今天公司为我们中层提供了一个述职机会,回顾一下工作、反思一下不足、思考一下打算,非常必要和及时。四年多来,在公司领导和大家的共同支持帮助下,本人为荣腾公司技术部的管理和发展作出了一点微薄的努力。现将四年多的工作汇报如下: 这四年多,对于我们技术部来说,是非同寻常的四年,从鑫源到荣腾的逐步发展壮大、成员力量的不断变更增加等,都给我们技术部注入新的活力,给我们带来了广阔的发展空间。我作为一名技术部的成员,有幸目睹并经历了这四年多技术部的发展进程。在公司的直接领导下和兄弟部门的全力配合支持下,我努力工作,狠抓管理,不计个人名利,在人员频繁变更情况下,适应机制改革、在队伍稳定、思想工作等方面积累了仅有的经验,促进了部门的稳步发展。 在日常工作方面,明确主要职责是根据煤炭市场适应开发新产品,同时配合市场部门反馈的信息综合考虑工程设计方案,造出预算与用户沟通签订圆满合同,其后指导加工制作、安装到位、最终提供竣工验收资料。在明确职责情况下,采取一系列措施:每年初起草修改《研发部管理制度》;每

月15日、30日召开工作研讨会,通报近期违章行为及先进事迹,在这期间不定时组织技术现事论证会;实行表格式管理,每天有《工作日志》,安排工作有《设计部施工单》,年终有《设计人员业绩表》进行考核,同时有《设计人员出差登记表》控制不必要外出。 四年多来,技术部在产品创新方面迈出了扎实有效的步伐,我 们在开发新产品,挖掘内部潜力有一定的动作。我所谓新产品,并不是填补国家空白的尖端产品,而是我公司前所没有的,从06年摇台阻车器、DTL50输送机申报到XX年过卷过放、DTLXX输送机申报,从技术部出去的定型产品图纸大约有二十多种。从XX年二月份起,在人员管理方面,我向公司引进推荐可需人员,技术部逐步完成招新工作,在部门建设方面,我坚持督促完善部门内部管理制度,加强自身建设,建立有效的激励机制,增强部门的凝聚力。在这四年间,我们是一个年轻人较多的部门,这就要求我们在不断从实践中总结出经验,在不断前进中成长、创新。因此我每年完善制度,把部门工作纳入制度化的轨道,特别是培养了部门年青成员务实的工作作风,同时定期召开部门座谈会议,进行工作交流以及学习交流,增进部门成员感情,使整个工作环境呈现出了严肃、紧张、团结、活泼的景象。由于部门工作的系统性,在这四年间,有七人次变更工作,无疑给我

程序员个人述职报告

述职述廉:________ 程序员个人述职报告 姓名:______________________ 单位:______________________ 日期:______年_____月_____日 第1 页共8 页

程序员个人述职报告 范文一 时间一晃而过,转眼间到公司已经半年多了。这是我人生中弥足珍贵的一段经历。在这段时间里各级领导在工作上给予了我极大的帮助,在生活上给予了我极大的关心,让我充分感受到了领导们海纳百川的胸襟,感受到了大发人不经历风雨,怎能见彩虹的豪气。在对公司各级领导肃然起敬的同时,也为我有机会成为公司的一份子而自豪。在这半年多的时间里,在领导和同事们的悉心关怀和指导下,通过自身的努力,各方面均取得了一定的进步,现将我的工作情况作如下汇报。 一、通过培训学习和日常工作积累使我对xx公司有了一定的新的认识。在5月中旬我来到了xx公司信息科技有限公司参加招聘,经过几轮面试和笔试,终于能够有幸加入了xx公司这个大家庭,在我进入公司时我拿到的第一份资料就是公司介绍和新员工入职说明,当时只是觉得公司很正规,很强大。在和部门领导以及项目经理交流后,感觉公司很亲切,很温馨。对其它方面就不太知道了,特别是对视频监控几乎一无所知。通过三个月的亲身体会,对智能交通和公司有了一定了解。公司的理念在平时的工作以及项目开发时体现的淋漓尽致,本人对公司的理念非常认同。公司发展不忘回报社会的壮举,令人敬佩。公司以人为本、尊重人才的思想在实际工作中贯彻,这是xx公司能发展壮大的重要原因。在十年左右的时间实现跨越发展的确很不容易,在智能交通行业深入发展是xx公司的雄心壮志,也是凝聚人才的核心动力。现在公司在视频监控以及安防行业起到了举足轻重的地位,今后还将更加辉煌。 第 2 页共 8 页

计算机网络技术实验报告

重庆交通大学 学生实验报告 实验课程名称《计算机网络技术》课程实验 开课实验室软件与通信实验中心 学院国际学院年级2012 专业班(1)班 学生姓名吴双彪学号6312260030115 开课时间2014 至2015 学年第二学期 实验2简单的局域网配置与资源共享 实验目的: 1、掌握将两台PC联网的技能与方法 2、掌握将几台PC连接成LAN的技能与方法 3、掌握局域网内资源共享的技能与方法 实验内容和要求: 1、选用百兆交换机连接PC若干台; 2、在上述两种情况下分别为PC配置TCP/IP协议,使他们实现互联和资源共享实验环境:(画出实验网络拓图) 实验步骤: 1、选择两台计算机; 选PC0与PC1. 2、设置两台计算机IP地址为C类内部地址; 两台PC机的IP分别设置为:、202.202.242.47、202.202.243.48; 两台PC机的掩码分别设置为:、255.255.255.0、255.255.255.0; 3、用一台计算机Ping另一台计算机,是否能Ping通?

4、我的电脑→工具→文件夹选项→查看→去掉“使用简单文件共享(推荐)”前 的勾;设置共享文件夹。 5、控制面板→管理工具→本地安全策略→本地策略→安全选项里,把“网络访 问:本地帐户的共享和安全模式”设为“仅来宾-本地用户以来宾的身份验证” (可选,此项设置可去除访问时要求输入密码的对话框,也可视情况设为“经典-本地用户以自己的身份验证”); 6、通过网络邻居或在运行窗口输入“\\对方IP地址”实现资源共享。 1)指定IP地址,连通网络 A.设置IP地址 在保留专用IP地址范围中(192.168.X.X),任选IP地址指定给主机。 注意:同一实验分组的主机IP地址的网络ID应相同 ..。 ..,主机ID应不同 ..,子网掩码需相同B.测试网络连通性 (1)用PING 命令PING 127.0.0.0 –t,检测本机网卡连通性。 解决方法:检查网线是否连接好,或者网卡是否完好 (2)分别“ping”同一实验组的计算机名;“ping”同一实验组的计算机IP地址,并记录结 果。答:能。结果同步骤3 (3)接在同一交换机上的不同实验分组的计算机,从“网上邻居”中能看到吗?能ping通 吗?记录结果。 2) 自动获取IP地址,连通网络 Windows主机能从微软专用B类保留地址(网络ID为169.254)中自动获取IP地址。 A.设置IP地址 把指定IP地址改为“自动获取IP地址”。 B.在DOS命令提示符下键入“ipconfig”,查看本机自动获取的IP地址,并记录结果。 C.测试网络的连通性 1.在“网上邻居”中察看能找到哪些主机,并记录结果。 2.在命令提示符下试试能“ping”通哪些主机,并记录结果。 答:能ping通的主机有KOREYOSHI ,WSB ,ST ,LBO ,CL 。思考并回答 测试两台PC机连通性时有哪些方法? 实验小结:(要求写出实验中的体会)

通信技术实训报告

南昌工程学院 《通信技术》实训报告 系院信息工程学院 专业通信工程 班级 学生姓名 学号 实习地点 指导教师 实习起止时间:2014 年 6 月9 日至2014 年6 月20 日

目录 一、实训时间 (3) 二、实训地点 (3) 三、实训目的 (3) 四、实训情况简介 (3) 五、实训内容 (4) 六、实训小结或体会 (10)

一、实训时间:从2014 年6 月9 日至2014年6 月20 日 二、实训地点: 三、实训目的 通过本实训了解2M业务在点对点组网方式时候的配置。通过本实训了解2M业务在链型组网方式时候的配置。通过本实训了解2M业务在环形组网方式时候的配置。通过本次实训了解MGW及MSCS数据配置。 SDH技术的诞生有其必然性,随着通信的发展,要求传送的信息不仅是话音,还有文字、数据、图像SDH技术和视频等。加之数字通信和计算机技术的发展,在70至80年代,陆续出现了T1(DS1)/E1载波系统(1.544/2.048Mbps)、X.25帧中继、ISDN(综合业务数字网) 和FDDI(光纤分布式数据接口)等多种网络技术。随着信息社会的到来,人们希望现代信息传输网络能快速、经济、有效地提供各种电路和业务,而上述网络技术由于其业务的单调性,扩展的复杂性,带宽的局限性,仅在原有框架内修改或完善已无济于事。SDH就是在这种背景下发展起来的。在各种宽带光纤接入网技术中,采用了SDH技术的接入网系统是应用最普遍的。SDH的诞生解决了由于入户媒质的带宽限制而跟不上骨干网和用户业务需求的发展,而产生了用户与核心网之间的接入"瓶颈"的问题,同时提高了传输网上大量带宽的利用率。SDH技术自从90年代引入以来,至今已经是一种成熟、标准的技术,在骨干网中被广泛采用,且价格越来越低,在接入网中应用可以将SDH技术在核心网中的巨大带宽优势和技术优势带入接入网领域,充分利用SDH 同步复用、标准化的光接口、强大的网管能力、灵活网络拓扑能力和高可靠性带来好处,在接入网的建设发展中长期受益。我们了解SDH的光传输及MGW及MSCS数据配置对我们加深理论知识理解有帮助。 四、实训情况简介 经学校安排, 于2014年6月9号至2014年6月20号期间在电子信息楼B404进行了实训,实训工作内容为SDH点对点组网配置实验,SDH链型组网配置实验,SDH环形组网配置实验以及MGW和MSCS数据配置实验。现总结如下: 实验进行了两周,第一周是移动数据配置,第二周是光传输实验,每次老师先给我们讲解原理,还有注意事项等,我了解了原理之后按照指导书在配置环境下按指导书配置。配置

程序员述职报告

程序员篇1 大家好,我是XXX项目组的XXX,我于20xx年7月进入公司做JAVA程序开发,至今已近快一年时间了。初来公司,曾经很担心不知该怎么与人共处,该如何做好工作;但是公司融洽的工作氛围、团结向上的企业文化让我较快适应了公司的工作环境。在本部的工作中,我一直严格要求自己,认真及时做好领导布置的每一项任务。不懂的问题虚心向同事请教,不断提高充实自己,希望可以为公司做出更大的贡献。 当然,刚做这个项目的时候,难免出现一些小差小错需领导指正;但前事之鉴,后事之师,这些经历也让我不断成熟,在处理各种问题时考虑得更全面,杜绝类似失误的发生。在此,我要特地感谢部门的领导和同事对我的指引和帮助,感谢他们对我工作中出现的失误的提醒和指正。总结经验是一个人迅速成长的有利催化剂,为了更快地成为一名优秀的员工,现将我20xx年上半年的学习、工作情况总结如下 20xx年初时,我们进销存项目通过了一期客户验收。但是,随着客户业务的变化,项目需要做很大的改动和调整。现进销存系统进入了二期的设计开发阶段。 初入公司的时候,我只负责财务模块的存货核算开发工作。随着公司人员的变动,现在我负责财务管理整个模块的开发工作。很明显的能感觉的身上的压力越来越大,也许是因为我的个性使然吧,越挫越勇,迎难而上。不断的学习财务

业务知识和本系统框架,现基本了解掌握了财务的整个业务逻辑及业务流程。因为没有参与一期的原型、需求、设计、数据库等文档的设计。 在开发过程中发现,有好多原型、需求文档、设计文档,只知其然,不知其所以然。总结一期经验,避免二期开发中遇到同样的问题和困难。从原型设计、谈二期需求、整理需求文档、数据库设计、开发,一条龙负责到底。这样对业务逻辑及业务流程有了更深的理解。从“我问”,到“我讲”,有了很大的个人提高。提高软件知识和技术只是问题的表面,本质是要提高自己认识问题、分析问题、解决问题的思想高度。在能胜任工作的基础上,立即去涉猎其它领域的专业知识,丰富自己的知识体系、提高自己的综合素质。 我相信付出肯定会有收获,在我们的努力下,进销存系统一定会给客户及公司一个满意的答案。 在这一年里,我看到公司的迅速发展,各项制度的不断完善,我很庆幸我是公司的一员,我会用谦虚的态度和饱满的热情做好我的本职工作,为公司创造价值,同公司一起创造美好的未来。 程序员述职报告篇2 一、前期工作回顾

2017计算机网络与通信技术实验报告要求

一、实验信息 2017计算机网络与通信技术实验报告要求 学号:15291202 姓名:杨有为班级:电气1511 IP:192.168.0.27 子网掩码:255.255.255.0 二、报告内容 1. 实验一,任务一 1) 画出实验室的网络拓扑图,将每个网络用CIDR记法进行表示,并注明你用的电脑处在哪一个网络。 2) 在你的电脑上打开cmd窗口,ping一下192.168.0.0网络的任何一台在线的主机,将实际运行结果进行图片保存,粘贴到实验报告上。 3) 在ping的过程中,利用wireshark捕捉包含对应ICMP报文的MAC帧,将此MAC帧的各个控制字段,以及此MAC帧中包含的IP数据报的各个控制字段,进行标注或者用文字列出。

IP报文 数据报的数据部分为48个字节 协议字段值为01代表IP数据报携带的是ICMP协议 下面对数据部分进行分析:IP数据报的数据部分=ICMP的首部+ICMP的数据部分 2. 实验一,任务二 1) 请写出T568B标准的线序,请将你做好的网线的图片粘贴到报告上。

T568B标准线序:白橙、橙色、白绿、蓝色、白蓝、绿色、白褐、褐色 2) 如果用校线器测出你做的网线只有1、2、3、6能通,那么在实验室网络环境下这根网线能否使用,为什么?10M、1000M、10G的以太网,哪个必须用到全部8根双绞线,请查阅资料后回答。 答:能用,因为在这8根双绞线中1、2用于发送,3、6用于接收,4、5、7、8是双向线,在100M以下的以太网中只需要其中四根,也就是1、2、3、6两对双绞线 就可以进行数据的传送。而1000M的以太网,必须用到全部的8根双绞线 3) 实验中你的网线做成功了吗?请总结成功或者失败的经验。 答:成功了,要细心。 3. 实验二,任务一 1) 请把你做的网站的静态和动态网页显示效果,冻结图片之后粘贴到报告上?

通信原理 数字基带传输实验报告

基带传输系统实验报告 一、 实验目的 1、 提高独立学习的能力; 2、 培养发现问题、解决问题和分析问题的能力; 3、 学习matlab 的使用; 4、 掌握基带数字传输系统的仿真方法; 5、 熟悉基带传输系统的基本结构; 6、 掌握带限信道的仿真以及性能分析; 7、 通过观察眼图和星座图判断信号的传输质量。 二、 实验原理 在数字通信中,有些场合可以不经载波调制和解调过程而直接传输基带信号,这种直接传输基带信号的系统称为基带传输系统。 基带传输系统方框图如下: 基带脉冲输入 噪声 基带传输系统模型如下: 信道信号 形成器 信道 接收 滤波器 抽样 判决器 同步 提取 基带脉冲

各方框的功能如下: (1)信道信号形成器(发送滤波器):产生适合于信道传输的基带信号波形。因为其输入一般是经过码型编码器产生的传输码,相应的基本波形通常是矩形脉 冲,其频谱很宽,不利于传输。发送滤波器用于压缩输入信号频带,把传输 码变换成适宜于信道传输的基带信号波形。 (2)信道:是基带信号传输的媒介,通常为有限信道,如双绞线、同轴电缆等。信道的传输特性一般不满足无失真传输条件,因此会引起传输波形的失真。另 外信道还会引入噪声n(t),一般认为它是均值为零的高斯白噪声。 (3)接收滤波器:接受信号,尽可能滤除信道噪声和其他干扰,对信道特性进行均衡,使输出的基带波形有利于抽样判决。 (4)抽样判决器:在传输特性不理想及噪声背景下,在规定时刻(由位定时脉冲控制)对接收滤波器的输出波形进行抽样判决,以恢复或再生基带信号。 (5)定时脉冲和同步提取:用来抽样的位定时脉冲依靠同步提取电路从接收信号中提取。 三、实验内容 1采用窗函数法和频率抽样法设计线性相位的升余弦滚讲的基带系统(不调用滤波器设计函数,自己编写程序) 设滤波器长度为N=31,时域抽样频率错误!未找到引用源。o为4 /Ts,滚降系数分别取为0.1、0.5、1, (1)如果采用非匹配滤波器形式设计升余弦滚降的基带系统,计算并画出此发送滤波器的时域波形和频率特性,计算第一零点带宽和第一旁瓣衰减。 (2)如果采用匹配滤波器形式设计升余弦滚降的基带系统,计算并画出此发送滤波器的时域波形和频率特性,计算第一零点带宽和第一旁瓣衰减。 (1)非匹配滤波器 窗函数法: 子函数程序: function[Hf,hn,Hw,w]=umfw(N,Ts,a)

研发人员述职报告

研发人员述职报告 述职报告 半年以来,在领导及同事的关怀、指导和帮助下,本人开始逐步了解公司各项运作,不断加深企业文化的理解和认同。从我踏入长江的第一天开始,我就对长江充满了信心。这种信心来自公司对我的培养,来自于全体员工精神风貌和朝气蓬勃的企业文化。长江的快速发展,振兴壮大,使我有了坚定的信心并努力地工作着。回顾一下几个月来的工作、反思一下不足、思考一下打算,非常必要和及时。进来公司以后,我在诸多方面得到了学习和锻炼。现将几个月的工作汇报如下,结合自身产品研发设计师工作做一下述职: 一、工作情况的汇报: 本人在这段时间主要做的工作有: 1.修改规范产品研发部产品开发工作流程,缩短产品开发周期,以期提高产品开发效率。 2.修改公司产品包装规范,在多次与供应商讨论和商议的基础上,进一步进行产品包装试验,并形成产品包装的规范性文件。 3.设计开发公司网购产品:持续关注网购家具产品生存态势和流行趋势,并结合我司生产和工艺特点,开发了第一期公司网购产品。该产品已经在淘宝上线,进一步拓宽了公司的市场运营渠道。

4.设计跟进深圳分公司蛇口槟榔园项目:通过前期设计接洽,现场测绘,双方多次设计会议交流,本项目已经打样完毕,获得了客户认可,参与竞标。 5.平安产品设计优化:通过对平安二三级机构对我司购买产品的汇总分析,对产品结构工艺进行优化改良,目前此项已经初步形成草案,会进一步联合相关部门讨论审议。 二、工作表现和收获: 1、工作表现: a、能够较好地完成本职工作; b、懂得事情轻重缓急,做事较有条理; c、能够较好地完成上级安排的任务; d、能够主动承担责任,积极改正错误,避免类似错误的再次发生; e、与同事相处融洽,能够积极配合及协助其他部门完成工作; 2、工作收获: a、工作敏感度有所提高,能够较积极地向领导汇报工作进度与结果; b、工作适应力逐步增强,对后期安排的工作,现已得心应手。 在工作中最大的体会就是自我能动性,在对公司技术、流程、工艺等各种条件都不熟悉的基础上,首先要加强自己

网络编程实验报告

网络编程实验报告

网络编程 课程设计报告 题目: 基于Linux网络聊天室的设计姓名: 陈佳悦陈雄兰 学院: 信息科学技术学院 专业: 网络工程 班级: 网络工程102 学号: 19310213 19310214 指导教师: 薛卫职称:副教授

基于Linux网络聊天室的设计 摘要:本课程设计是在Linux环境下基于Socket进行开发的。系统服务器端和客户端组成。服务端程序通过共享存储区存储聊天数据,并发送给每个连接的客户端。通过多路复用的子进程实现服务端与多个客户端之间的数据发送与接收。可以在单机上开辟两个窗口分别运行客户、服务器的程序。本方案经gcc 调试器调试成功,可以在机网络聊天中使用。 关键词:网络聊天;linux ;socket 1.相关概念及技术 1.1 网络套接字编程 1.1.1套接字基本概念 套接字是通信的基石,是支持TCP/IP协议的网络通信的基本操作单元。可以将套接字看作不同主机间的进程进行双向通信的端点,它构成了单个主机内及整个网络间的编程界面。套接字存在于通信域中,通信域是为了处理一般的线程通过套接字通信而引进的一种抽象概念。套接字通常和同一个域中的套接字交换数据(数据交换也可能穿越域的界限,但这时一定要执行某种解释程序)。各种进程使用这个相同的域互相之间用Internet协议簇来进行通信。 1.1.2 套接字工作原理 要通过互联网进行通信,你至少需要一对套接字,其中一个运行于客户机端,我们称之为ClientSocket,另一个运行于服务器端,我们称之为ServerSocket。 根据连接启动的方式以及本地套接字要连接的目标,套接字之间的连接过程可以分为三个步骤:服务器监听,客户端请求,连接确认。 所谓服务器监听,是服务器端套接字并不定位具体的客户端套接字,而是处于等待连接的状态,实时监控网络状态。 所谓客户端请求,是指由客户端的套接字提出连接请求,要连接的目标是服务器端的套接字。为此,客户端的套接字必须首先描述它要连接的服务器的套接字,指出服务器端套接字的地址和端口号,然后就向服务器端套接字提出连接请求。

数字信号光纤通信技术实验报告

数字信号光纤通信技术实验的报告 预习要求 通过预习应理解以下几个问题: 1.数字信号光纤传输系统的基本结构及工作过程; 2.衡量数字通信系统有那两个指标?; 3.数字通信系统中误码是怎样产生的?; 4.为什么高速传输系统总是与宽带信道对应?; 5.引起光纤中码元加宽有那些因素?; 6.本实验系统数字信号光-电/电-光转换电路的工作原理; 7.为什么在数字信号通信系统中要对被传的数据进行编码和解码?; 8.时钟提取电路的工作原理。 目的要求 1.了解数字信号光纤通信技术的基本原理 2.掌握数字信号光纤通信技术实验系统的检测及调试技术 实验原理 一、数字信号光纤通信的基本原理 数字信号光纤通信的基本原理如图8-2-1示(图中仅画出一个方向的信道)。工作的基本过程如下:语音信号经模/数转换成8位二进制数码送至信号发送电路,加上起始位(低电平)和终止位(高电平)后,在发时钟TxC的作用下以串行方式从数据发送电路输出。此时输出的数码称为数据码,其码元结构是随机的。为了克服这些随机数据码出现长0或长1码元时,使接收端数字信号的时钟信息下降给时钟提取带来的困难,在对数据码进行电/光转换之前还需按一定规则进行编码,使传送至接收端的数字信号中的长1或长0码元个数在规定数目内。由编码电路输出的信号称为线路码信号。线路码数字信号在接收端经过光/电转换后形成的数字电信号一方面送到解码电路进行解码,与此同时也被送至一个高Q值的RLC谐振选频电路进行时钟提取. RLC谐振选频电路的谐振频率设计在线路码的时钟频率处。由时钟提取电路输出的时钟信号作为收时钟RxC,其作用有两个:1.为解码电路对接收端的线路码进行解码时提供时钟信号;2.为数字信号接收电路对由解码电路输出的再生数据码进行码值判别时提供时钟信号。接收端收到的最终数字信号,经过数/模转换恢复成原来的语音信号。 图8-2-1 数字信号光纤通信系统的结构框图 在单极性不归零码的数字信号表示中,用高电平表示1码元,低电平表示0码元。码元持续时间(亦称码元宽度)与发时钟TxC的周期相同。为了增大通信系统的传输容量,就要求提高收、发时钟的频率。发时钟频率愈高码元宽度愈窄。 由于光纤信道的带宽有限,数字信号经过光纤信道传输到接收端后,其码元宽度要加宽。加宽程度由光纤信道的频率特性和传输距离决定。单模光纤频带宽,多模光纤频带窄。因为按光波导理论[1]分析:光纤是一种圆柱形介质波导,光在其中传播时实际上是一群满足麦克斯韦方程和纤芯—包层界面处边界条件的电磁波,每个这样的电磁波称为一个模式。光纤中允许存在的模式的数量与纤芯半径和数字孔径有关。纤芯半径和数字孔径愈大,光纤中参与光信号传输的模式也愈多,这种光纤称为多模光纤(芯径50或62.5μm)。多模光纤中每个模式沿光纤轴线方向的传播速度都不相同。因此,在光纤信道的输入端同时激励起多个模式时,每个模式携带的光功率到达光纤信道终点的时间也不一样,从而引起了数字信号码元的加宽。码元加

开发人员述职报告

开发人员述职报告 篇一:研发部述职报告 述职报告 半年以来,在领导及同事的关怀、指导和帮助下,本人开始逐步了解公司各项运作,不断加深企业文化的理解和认同。从我踏入长江的第一天开始,我就对长江充满了信心。这种信心来自公司对我 的培养,来自于全体员工精神风貌和朝气蓬勃的企业文化。长江的快速发展,振兴壮大,使我有了坚定的信心并努力地工作着。回顾一下几个月来的工作、反思一下不足、思考一下打算,非常必要和及时。进来公司以后,我在诸多方面得到了学习和锻炼。现将几个月的工作汇报如下,结合自身产品研发设计师工作做一下述职: 一、工作情况的汇报: 本人在这段时间主要做的工作有: 1.修改规范产品研发部产品开发工作流程,缩短产品开发周期,以期提高产品开发效率。 2.修改公司产品包装规范,在多次与供应商讨论和商议的基础上,进一步进行产品包装试验,并形成产品包装的规

范性文件。 3.设计开发公司购产品:持续关注购家具产品生存态势和流行趋势,并结合我司生产和工艺特点,开发了第一期公司购产品。该产品已经在淘宝上线,进一步拓宽了公司的市场运营渠道。 4.设计跟进深圳分公司蛇口槟榔园项目:通过前期设计接洽,现场测绘,双方多次设计会议交流,本项目已经打样完毕,获得了客户认可,参与竞标。 5.平安产品设计优化:通过对平安二三级机构对我司购买产品的汇总分析,对产品结构工艺进行优化改良,目前此项已经初步形成草案,会进一步联合相关部门讨论审议。 二、工作表现和收获: 1、工作表现: a、能够较好地完成本职工作; b、懂得事情轻重缓急,做事较有条理; c、能够较好地完成上级安排的任务; d、能够主动承担责任,积极改正错误,避免类似错误的再次发生; e、与同事相处融洽,能够积极配合及协助其他部门完

相关主题
文本预览
相关文档 最新文档