当前位置:文档之家› 出租车计价器的设计【文献综述】

出租车计价器的设计【文献综述】

出租车计价器的设计【文献综述】
出租车计价器的设计【文献综述】

文献综述

电气工程及其自动化

出租车计价器的设计

1.前言

本毕业设计的题目是《出租车计价器设计》,随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S51单片机为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,采用AT24C02 实现在系统掉电的时候保存单价和系统时间等信息,输出采用8 段数码显示管。本次设计的计价器不但能实现基本的计价,而且还能根据白天、黑夜、中途等待来调节单价,同时在不计价的时候还能作为时钟为司机同志提供方便。

2.出租车计价器的发展

出租车行业在我国是八十年代初兴起的一项新兴行业,随着我国国民经济的高速发展,出租汽车已成为城市公共交通的重要组成部分。多年来国内普遍使用的计价器只具备单一的计量功能。目前全世界的计价器中有90%为台湾所生产。现今我国生产计价器的企业有上百家,主要是集中在北京,上海,沈阳和广州等地。我国第一家生产计价器的是重庆市起重机厂,最早的计价器全部采用机械齿轮构,只能完成简单的计程功能,可以说,早期的计价器就是个里程表。随着科学技术的发展,产生了第二代计价器。它采用了手摇计算机与机械结构相结合的方式,实现了半机械半电子化。此时它在计程的同时还可完成计价的工作。大规模集成电路的发展又产生了第三代计价器,也就是全电子化的计价器。它的功能也在不断完善。出租汽车计价器是一种专

用的计量仪器,它安装在出租汽车上,能连续累加,并指示出行程中任一时刻乘客应付费用的总数,其金额值是计程和计时时间的函数。出租车计价器在最初使用时具备的主要功能是根据行驶里程计价,要求精度高,可靠性好。随着电子技术的发展以及对计价器的不断改进和完善,便产生了诸多的附加功能。

3.系统总体方案的设计

主控电路的选择

方案一:采用数字电路控制。将传感器输出的脉冲信号,经过放大整形作为移位寄存器的脉冲,实现计价,但是考虑到这种电路过于简单,性能不够稳定,而且不能调节单价,也不能根据天气调节计费标准,电路不够实用。

方案二:采用单片机控制。利用单片机丰富的 I/O端口,及其控制的灵活性,实现基本的里程计价功能和价格调节、时钟显示功能,且价格便宜。

综合考虑采用方案二比较好。

该系统由以下几个部件组成:单片机AT89S51、总金额及单价显示部件、键盘控制部件,AT24C02 掉电存储控制、里程测量单元、串口显示驱动电路等。利用单片机丰富的I/O 端口,及其控制的灵活性,实现基本的里程计价功能和价格调节、时钟显示功能。不但能实现所要求的功能而且能在很大的程度上扩展功能,而且还可以方便的对系统进行升级。

本计价器在出租车行走的时候只有总金额和单价显示屏在显示,在出租车不走的时候或者到达目的地的时候,客户要求查看总的里程的时候,就可以按下按键切换到里程和单价显示屏,供客户查询。

AT24C02 掉电存储单元的设计,戴永在《微机控制技术》里指出掉电存储单元的作用是在电源断开的时候,存储当前设定的单价信息。AT24C02 是ATMEL 公司的2KB 字节的电可擦除存储芯片,采用两线串行的总线和单片机通讯,电压最低可以到2.5V,额定电流为1mA,静态电流10Ua(5.5V),芯片内的资料可以在断电的情况下保存40 年以上,而且采用8 脚的DIP 封装,使用方便。每当设定一次单价,系统就自动调用存储程序,将单价信息保存在芯片内;当系统重新上电的时候,自动调用读存储器程序,将存储器内的单价等信息,读到缓存单元中,供主程序使用。

里程测量单元的设计,出租车中需要一个能准确获得车轮转动即路程计量信号的

装置,以得到标准的脉冲信号送入单片机的定时/计数器T1 即P3.5 引脚,利用单片机的T1 的计数功能完成100 次的计数后产生一中断来完成路程的测量。(设车轮周长为1m,则霍尔传感器每产生100 个脉冲便表示车已行程0.1 km,根据实汽车联轴器按圆周间隔嵌入磁钢,用霍尔传感器集成芯片A44E 检测并输出脉冲,其工作原理如霍尔传感器集成芯片A44E 有信号转换、电压放大、整形输出等功能,为增加其抗干扰的能力。

按键单元的设计,电路共采用了四个按键,S1、S2、S3、S4,其功能分别是:在出租车不走的时候,按下S1,可以实现数据的分屏显示;车在行走的时候只有总金额和单价显示屏在显示,当到达目的地的时候,客户要求查看总的里程的时候,就可以按下S1 切换到里程和单价显示屏,供客户查询。

在按下S1 按键之后,若接着按下S2键则进行单价调整(默认为调整白天单价),当接着按下S1 时,则进行晚上单价调,再次按下S1 可进行中途等待单价调整。当单价调整结束后,可以通过过按下S2 按键进行时间调整,默认为调整时,接着按下S1 可进行调整分,分调整后再接下S1 可进行秒调整。当时调整完成后,若接着按下S2 则又可进行单价调整。

在显示金额及单价时,若按下S3 键则显示路程和单价,再次按下S3,可返回显示金额及单价。

张毅和刘守义指出:在按下S1 按键之后,若接着按下S4按键,则进行设定默认晚上单价,并启动计价器,若没有按下S4 则可设定默认单价(白天),并启动计价器。当设定默认晚上单价结束后,再次接下S4 按键,则可设定默认中途等待单价,并启动计价器。当设定默认中途等待单价后,若还按一次S4,则返回系统时间的显示。

此系统能够手动修改单价、能够显示当前的系统时间、能够在掉电的情况下存储单价等数据、能进行白天/晚上转换、途中等待(>10min 开始收费);路程和单价、总金额和单价、时钟等等所有数据输出采用8 段数码显示管来显示。本电路设计的计价器不但能实现基本的计价,而且还能根据白天、黑夜、中途等待来调节单价,同时在不计价的时候还能作为时钟为司机同志提供方便。基于AT89S51单片机来实现一台出租车计价器,具有性能可靠、电路简单、成本低等特点。

4 .系统的软件设计

本系统的软件设计主要分为主程序模块、定时计数中断程序、里程计数中断服务

程序、中途等待中断服务程序、显示子程序服务程序、键盘服务程序六大模块。在主程序模块中,需要完成对各接口芯片的初始化、出车起价和单价的初始化、中断向量的设计以及开中断、循环等待等工作。另外,在主程序模块中还需要设置启动/ 清除标志寄存器、里程寄存器和价格寄存器,并对它们进行初始化。然后,主程序将根据各标志寄存器的内容,分别完成启动、清除、计程和计价等不同的操作。当按下按键时,就启动计价,将根据里程寄存器中的内容计算和判断出行驶里程是否已超过起价公里数。若已超过,则根据里程值、每公里的单价数和起价数计算出当前的累计价格,并将结果存于价格寄存器中,然后将时间和当前累计价格送显示电路显示出来。当到达目的地的时候,由于霍尔开关没有送来脉冲信号,就停止计价,显示当前所应该付的金额和对应的单价,到下次启动计价时,系统自动对显示清零,并重新进行初始化过程。

5 . 结论

综合所有资料,要设计出租车计价器面临以下三方面的问题:

(1)不同国家和地区的计费方式存在差异。有些地区有夜间收费及郊区收费等,而有些地区则无夜间收费和郊区收费;即使同一地区,不同车型的出租车,其计费方式也有差别;另一方面,出租车还面临几年一次的调价或调整计费方式等问题。因此,所设计的计费器不仅要能满足不同国家和地区的要求,而且计费方式的调整也应当很方便。

(2)由于个别地区对计费器有特殊要求,有时必须修改软件;另外,计费器还面临软件的升级;因而,所设计的计费器应能很方便地重新编程。

(3)计费器必须要有防作弊功能,能有效防止司机作弊;还要有效的数据存储并传输,同时要防止计费器在营运过程中死机。

为此,设计出一款好的计费器,解决了上述问题是非常必要的。

参考文献

[1]丁元杰主编.单片微机原理及应用[M].北京:机械工业出版社,2000.

[2]戴永主编.微机控制技术[M].长沙:湖南大学出版社,2001.

[3]张毅刚编著.新编MCS- 51 单片机应用设计[M].哈尔滨:哈尔滨工业大学出版社,2003.

[4]刘守义主编.单片机应用技术[M].西安:西安电子科技大学出版社,2003.

[5]孙涵芳主编.MCS- 51/ 96 系列单片机原理及应用[M].北京:北航出版社,2002.

[6]谢维成,杨加国主编.单片机原理与应用及C51程序设计.北京-清华大学出版社2006

[7] 杨建潮. 出租汽车计价器使用、维修与检定问答[M]. 北京:中国计量出版社,2003.

[8] 马家辰等.MCS-51单片机原理及接口技术[M]. 哈尔滨:哈尔滨工业大学出版社,2003

[9] 李广弟.单片机基础[M]. 北京:北京航空航天大学出版社,1999.

[10] 李朝青.单片机原理及接口技术[M]. 北京:北京航空航天大学出版社,1994.

出租车计价器课程设计报告

昆明理工大学信息工程与自动化学院学生实验报告 (2012 —2013 学年第 2 学期) 课程名称:可编程逻辑器件设计开课实验室:信自楼 2013 年4月 11日

一、引言 汽车计价器是乘客与司机双方的交易准则,它是出租车行业发展的重要标志,是出租车中最重要的工具。它关系着交易双方的利益。具有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此,汽车计价器的研究也是十分有一个应用价值的。 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。我们设计采用8086为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,输出采用8段数码显示管。 二、总体设计方案 利用8253作为定时/计数器,控制电机转速并测量电机的转数;8255作为输入输出接口,控制数码管显示启动后经过的里程数(小数点后取2位有效值)。 硬件连接说明: 直流电机控制器的基础上,增加了一个电机测速电路构成。 8255的B口作为数码管的笔划码驱动;C口的低4位作为段码控制,显示实际里程,同时,也用于输出键盘的列扫描码;PC6、PC7输入行扫描码,用于识别按键,程序中仅使用了PC6行上的四个按键,其定义如下: X1:启动/停止键;X2:加速键,与X3、X4键组合使用;X3:“+”键;X4:“-”键。 A口仅使用了PA0,输出PWM脉冲信号,通过驱动芯片驱动电机,其输出电压的高低与PWM脉冲的占空比成正比。 电机的转数,通过霍尔元件变换为脉冲个数,送入8253的通道2,计数后,将转数转换为里程数,最小单位为0.01公里,送CPU记录,结果由数码管显示出来。

开题报告(模版)

开题报告(模版)

毕业设计(论文)开题报告 设计(论文)题目:基于FPGA的出租车计费系 统的设计 院系名称: 电气与信息工程学 院 专业班级: 电子信息工程09-2 班 学生姓名: 导师姓名: 开题时间: 2013年3月15号

一课题研究目的和意义 在我国社会经济的全面发展过程中,各大中小城市的出租车营运事业也迅速发展,出租车已经成为人们日常出行选择较为普遍的交通工具。出租车计价器是出租车营运收费的专用智能化仪表,是出租车市场规范化、标准化以及减少司机与乘客之间发生纠纷的重要设备。为了保护营运者和乘客的经济利益,世界上的多数国家都对作为贸易结算用的出租汽车计价器进行强制管理[1]。我国《计量法》也将出租汽车计价器列入强检目录,实行强制检定。1999年,国家质量技术监督局又将出租汽车计价器列为六种重点管理的计量器具之一[2]。出租车行业以低价高质的服务给人们带来了出行的享受。但是总存在着买纠纷困扰着行业的发展。而在出租车行业中解决这一矛盾的最好方法就是改良计价器。汽车计价器是乘客与司机双方的交易准则它是出车行业发展的重要标志是出租车中最重要的工具。它关系着交易双方的利益。具租有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此汽车计价器的研究也是十分有应用价值的[3]。一种功能完备、简单易用、计量准确的出租车计价器是加强出租车行业管理、提高服务质量的必备品。当计费系统在各大、中城市出租车中使用越来越广泛,用户对计费器的要求也越来越高。以出租车多功能计费器为例,用户不仅要求计费器性能稳定,计费准确,有防作弊功能;同时还要求其具有车票打印、语音报话识别、电脑串行通信及税控等功能;不同国家和地区的收费方式存在差异,即使在同一地区,不同车型的出租车收费方式也有差别,而且出租车还面临几年一次的调价或调整收费方式等问题[4]。采用模拟电路和数字电路设计的计价器整体电路的规模较大用到的器件多造成故障率高难调试对于模式的切换需要用到机械开关机械开关时间久了会造成接触不良功能不易实现。采用单片机设计相对来说功能强大用,较少的硬件和适当的软件相互配合可以很容易的实现设计要求且灵活性强,可以通过软件编程来完成更多的附加功能,然而传统的基于单片机设计的出租车多功能计费器已远远跟不上这种变化,功能升级很繁琐,需要硬件重组和软件更新同步进行,成本高,并且每次升级都可能出现新的不稳定因素;而基于现场可编程逻辑阵列(FPGA)的出租车多功能计费器,采用硬件描述语言Verilog HDL和逻辑综合为基础的自顶向下的电路设计方法,开发成本低,周期短,可靠性高,功能升级方便,满足了用户的要求。

最新出租车计价器课程设计

出租车计价器课程设 计

大学 《单片机应用与仿真训练》设计报告 出 租 车 计 价 器 姓名: 学号: 3 姓名: 学号: 专业班级:自动化09-7班 指导老师: 所在学院:电气学院 2012年7月4 日 摘要

本设计基于AT89SC51单片机,采用霍尔传感器采集轮胎转数的信息,使用外部中断0将霍尔传感器采集的信息输入到单片机,实现对于出租车行驶里程的计算;对于中途等待以及红绿灯等待,使用定时器计时,并将时间转化为里程数以计费;输出设备采用数码管,本设计采用的是两个4位一体的共阴极数码管,输出里程数和费用;此外,本设计还设计了状态指示灯,用以指示计价器的工作状态和显示状态。 出租车计价器是出租车行业计费系统的核心,是出租车行业发展的重要标志,性能良好的计价器对于乘客和出租车行业都是必需的。 关键词:计价器、单片机、霍尔传感器

目录 1 概述 (4) 1.1 出租车计价器概述 (4) 1.2 单片机的概述 (4) 2 总体方案设计 (6) 2.1 设计任务要求 (6) 2.1.1 设计任务 (6) 2.1.2 设计要求 (6) 2.2 设计的主要功能 (6) 2.3 方案的选取 (7) 2.3.1 硬件设计方案 (7) 2.3.2 软件设计方案 (8) 3 硬件设计 (8) 3.1 AT89S52单片机及最小系统 (9) 3.2 测距单元 (11) 3.3 按键单元和状态显示单元 (14) 3.4 数码显示单元 (15) 4 软件设计 (17) 4.1 系统主程序 (17) 4.2 数据处理子程序 (18) 4.3 等待时间计时子程序 (19) 4.4 键盘扫描子程序 (20) 5 Proteus软件仿真 (21) 6课程设计过程中遇到的问题 (22) 7 课程设计体会 (23) 参考文献 (24) 附1、原程序代码 (25) 附2、系统原理图 (30)

电子线路CAD课程设计报告出租车计价器

电子电路CAD课程设计 课题名称出租车计价设计 所在院系 班级 学号 姓名 指导老师 时间

目录 第一章引言 (4) 第二章设计方案 (5) 2.1出租汽车里程计价表设计的要求及技术指标 2.2设计方案论证 第三章电路原理图的绘制 (7) 第四章电路板图的绘制 (8) 第五章课程设计总结 (12) 第六章电子元件清单 (13) 第七章总电路原理图 (14) 第八章参考文献 (16)

第一章引言 随着生活水平的提高,人们已经不再满足于衣食住的享受,出行的舒适已经受到越来越多人的关注。于是,出租车行业低价高质的服务给人们带来了出行的享受。但是总存在着买卖纠纷,困扰着行业的发展。而在出租车行业中解决这矛盾的最好的方法就是使用计价器,用规范的价格来为乘客提供更加方便快捷的服务。同时,出租车计价器是乘客与司机双方的交易准则,是出租车行业发展的重要标志,它关系着交易双方的利益。现在,城市建设日益加快,象征着城市面貌的出租车行业也将加快发展,计价器的普及是毫无疑问的,所以未来出租车行业计价器的市场是很有潜力的。本文是为了探索计价器的设计而制作的。随着计算机和信息技术的发展,EDA(Electronic Design Automation,电子设计自动化)技术已经代替了传统手工设计和制作印刷电路板的方法,成为现代电子工程领域的一门新技术。EDA技术的发展和推广极大地推动了电子工业的发展,由此各类EDA工具软件也如雨后春笋般地蓬勃发展起来。原理图设计、PCB设计、电路仿真和PLD设计都是EDA设计技术中的重要组成部分,而Altium公司(原Protel Technology公司)推出的Protel DXP软件全面集成了EDA设计几大技术,而且它还包含了电路仿真印刷电路板的信号完整性分析、可编程逻辑器件FPGA数字电路设计和VHDL硬件描述语言的应用

出租车计价器 课程设计报告

软件学院 课程设计报告 课程 题目出租车计价器 班级集成13-4 专业集成电路设计与集成系统 学生学号 指导教师(填写自己班的指导教师) 年月日 1.课程设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的组合逻

辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 2.课程设计题目描述和要求 2.1.课程设计题目描述 ①.实现计费功能,计费标准为:按行驶里程计费,起步价为7.0元,并在车行3km 后按2.2元/km 计费,当计费器达到或超过20元时,每公里加收50%的车费,车停止不计费。 ②.现场模拟功能:以开关或按键模拟公里计数,能模拟汽车起动、停止、暂停等状态。 ③.将车费和路程显示出来,各有一位小数。 2.2.总体设计思路框架 2.3.课程设计题目要求 ①.设计正确,方案合理。 ②.程序精炼,结构清晰。 ③.设计报告含程序设计说明,用户使用说明,源程序清单及程序框图。 ④.上机演示。 ⑤.有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 3.设计思想和设计内容 3.1 出租车计费设计

该出租车计费器按下开关S1后开始计费和计里程数,起步价是7元,行驶3公里,以后2.2元/公里。并且设计选择模块,在车费超过20元每公里加收50%车费即3.3元/公里。 3.2 基本设计思想 出租车计费器根据设计要求可初步分为3方面——显示、记里程数、记费。之后再根据三方面分别设计模块。1.显示模块。一般计数器显示数字为1-F即16进制,而16进制不方便观看,所以在设计这一模块时我们将16进制改为10进制输出进而设计了译码模块。2、里程模块。设计要求对里程计数主要分为两个方面,计数以及公里数比较。即3公里之内收7元,3公里之后20元(通过计算为9公里)以内每公里2.2元,9公里以外为每公里3.3元。所以,我们将里程模块分为里程计数模块以为比较模块。3.计费模块。计费模块同里程比较模块所以将两个模块合二为一,为价格计算模块。 4.Verilog代码 4.1顶层模块 module taxi( clk,stop,rst_n, time1,time2,time3,time4, seg1,seg2,seg3,seg4 ); input clk; input stop; input rst_n; output [6:0]time1; output [6:0]time2; output [6:0]time3; output [6:0]time4;

基于单片机的自动灌溉系统设计【文献综述】

毕业设计开题报告 电子信息工程 基于单片机的自动灌溉系统设计 一、前言 单片机诞生于20世纪70年代末,经历了SCM、MCU、SoC三大阶段。目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。导弹的导航装置,飞机上各种仪表的控制,计算机的网络通讯与数据传输,工业自动化过程的实时控制和数据处理,广泛使用的各种智能IC卡,民用豪华轿车的安全保障系统,录象机、摄象机、全自动洗衣机的控制,以及程控玩具、电子宠物等等,这些都离不开单片机。更不用说自动控制领域的机器人、智能仪表、医疗器械。 单片机应用的主要领域有: 1)智能化家用电器:各种家用电器普遍采用单片机智能化控制代替传统的电子线路控制,升级换代,提高档次。如洗衣机、空调、电视机、录像机、微波炉、电冰箱、电饭煲以及各种视听设备等。 2)办公自动化设备:现代办公室使用的大量通信和办公设备多数嵌入了单片机。如打印机、复印机、传真机、绘图机、考勤机、电话以及通用计算机中的键盘译码、磁盘驱动等。 3)商业营销设备:在商业营销系统中已广泛使用的电子称、收款机、条形码阅读器、IC卡刷卡机、出租车计价器以及仓储安全监测系统、商场保安系统、空气调节系统、冷冻保险系统等都采用了单片机控制。 4)工业自动化控制:工业自动化控制是最早采用单片机控制的领域之一。如各种测控系统、过程控制、机电一体化、PLC等。在化工、建筑、冶金等各种工业领域都要用到单片机控制。

5)智能化仪表:采用单片机的智能化仪表大大提升了仪表的档次,强化了功能。如数据处理和存储、故障诊断、联网集控等。 6)智能化通信产品:最突出的是手机,当然手机内的芯片属专用型单片机。 7)汽车电子产品:现代汽车的集中显示系统、动力监测控制系统、自动驾驶系统、通信系统和运行监视器(黑匣子)等都离不开单片机。 8)航空航天系统和国防军事、尖端武器等领域:单片机的应用更是不言而喻. 单片机的功能是靠程序驱动实现的,通过编程将程序烧写到单片机内部,控制芯片各个引脚在不同时间不同的电平输出,进而控制与这些引脚连接的外围电路电气状态。 随着人们生活水平的不断提高,数字化控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,但人们对它的要求也越来越高,要为现代人工作、科研,生活、提供更好的,更方便的设施就需要从数字电子技术入手,一切向数字化,智能化方向发展. 基于单片机的自动灌溉系统,是以数字化控制为基础,配合传感器技术设计的。湿度传感器实时监测土壤湿度并得到一个模拟的电压值,通过的A/D转换,我们可以得到电压值的数字信号,然后我们可以把该数字信号输入单片机,在单片机中进行相关的数字处理,得到一系列的控制信号输出,来控制外围设备的运行,如报警声、数码管的数值显示、阀门的开关从而得到对灌溉的控制。另外可以通过修改软件的方法,来修改灌溉的水量和时间。[1-6] 二、主题 2.1研究背景及意义 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择,也是今后学习更高级芯片

单片机出租车计价器课程设计报告书

word完美格式 嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号:

一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务 ●出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通 过线路连接构成一个完整的系统。 ●公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生 一个脉冲信号送给单片机作为一定距离的计数值。 ●显示器:用LED显示,动态扫描显示,不断输出即时价格已及时间。 ●定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助 计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数量即为车行驶一公里,并对价格进行增加。在没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号(P3.4)。

五、LED显示电路 对设计总体分析下,只需对其价格进行显示,选用四个LED数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 P0.7-P0.0 数码管输出数据 P2.7-P2.4 数码管位选 六、计价器的计价要求 ●计价方式:起价(两公里)白天8元,晚上8.6元;由一开关进行选择。每 公里1.5元。 ●转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计 67个数产生一个溢出中断。 七、电路原理图及引脚

开题报告(模版)

毕业设计(论文)开题报告 设计(论文)题目:基于FPGA的出租车计费系统的设计院系名称: 电气与信息工程学院 专业班级: 电子信息工程09-2班 学生姓名: 导师姓名: 开题时间: 2013年3月15号

一课题研究目的和意义 在我国社会经济的全面发展过程中,各大中小城市的出租车营运事业也迅速发展,出租车已经成为人们日常出行选择较为普遍的交通工具。出租车计价器是出租车营运收费的专用智能化仪表,是出租车市场规范化、标准化以及减少司机与乘客之间发生纠纷的重要设备。为了保护营运者和乘客的经济利益,世界上的多数国家都对作为贸易结算用的出租汽车计价器进行强制管理[1]。我国《计量法》也将出租汽车计价器列入强检目录,实行强制检定。1999年,国家质量技术监督局又将出租汽车计价器列为六种重点管理的计量器具之一[2]。出租车行业以低价高质的服务给人们带来了出行的享受。但是总存在着买纠纷困扰着行业的发展。而在出租车行业中解决这一矛盾的最好方法就是改良计价器。汽车计价器是乘客与司机双方的交易准则它是出车行业发展的重要标志是出租车中最重要的工具。它关系着交易双方的利益。具租有良好性能的计价器无论是对广大出租车司机朋友还是乘客来说都是很必要的。因此汽车计价器的研究也是十分有应用价值的[3]。一种功能完备、简单易用、计量准确的出租车计价器是加强出租车行业管理、提高服务质量的必备品。当计费系统在各大、中城市出租车中使用越来越广泛,用户对计费器的要求也越来越高。以出租车多功能计费器为例,用户不仅要求计费器性能稳定,计费准确,有防作弊功能;同时还要求其具有车票打印、语音报话识别、电脑串行通信及税控等功能;不同国家和地区的收费方式存在差异,即使在同一地区,不同车型的出租车收费方式也有差别,而且出租车还面临几年一次的调价或调整收费方式等问题[4]。采用模拟电路和数字电路设计的计价器整体电路的规模较大用到的器件多造成故障率高难调试对于模式的切换需要用到机械开关机械开关时间久了会造成接触不良功能不易实现。采用单片机设计相对来说功能强大用,较少的硬件和适当的软件相互配合可以很容易的实现设计要求且灵活性强,可以通过软件编程来完成更多的附加功能,然而传统的基于单片机设计的出租车多功能计费器已远远跟不上这种变化,功能升级很繁琐,需要硬件重组和软件更新同步进行,成本高,并且每次升级都可能出现新的不稳定因素;而基于现场可编程逻辑阵列(FPGA)的出租车多功能计费器,采用硬件描述语言Verilog HDL和逻辑综合为基础的自顶向下的电路设计方法,开发成本低,周期短,可靠性高,功能升级方便,满足了用户的要求。该系统在不改变硬件电路的前提下,具有可以重构系统的功能;采用完全相同电路结构,只要根据各地区的要求在Verilog HDL程序中设置各参数,就可以适应各地区出租车不同计费标准的需要,还可以根据各地区需求增加其他功能[5]。

单片机出租车计价器课程设计

单片机出租车计价器课程 设计 This manuscript was revised on November 28, 2020

嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号: 一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务

出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通过线路连接构成一个完整的系统。 公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生一个脉冲信号送给单片机作为一定距离的计数值。 显示器:用LED 显示,动态扫描显示,不断输出即时价格已及时间。 定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数 量即为车行驶 一公 里,并 对价格 进行增 加。在 没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号()。 五、LED 显示电路 对设计总体分析下,只需对其价格进行显示,选用四个LED 数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 六、计价器的计价要求 计价方式:起价(两公里)白天8元,晚上元;由一开关进行选择。每公里元。 转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计67个数产生一个溢出中断。 七、电路原理图及引脚 八、源程序 ORG 0000H ;起始地址 AJMP START ORG 001BH ;计数中断地址 LJMP CNT1 ORG 0030H ;主程序地址 START: MOV PSW, #00H MOV IE, #88H ;IE=B MOV TMOD, #60H ;TMOD=01100000B ,T1工作方式2 数码管输出数据 数码管位选 显示小数点(长亮) 计价器工作开关 白天/夜间切换 计数脉冲输入

在线车辆租赁系统-文献综述

一、中外文献资料目录 [1] 方兴东,王俊秀.博客:e时代的盗火者.机械工业出版社,2003年8月. [2] 萨师煊,王珊.数据库系统概论[M].北京:高等教育出版社,2000年2月,247-249. [3] Julia Case Bradley, Anita https://www.doczj.com/doc/5613293752.html,spaugh.C#.NET 程序设计[M].北京:清华大学出版社,2005年1月, 50-123. [4] 微软公司.Web应用开发——https://www.doczj.com/doc/5613293752.html, 2.0.高等教育出版社,2007年7月. [5] 刘锦楠万云龙.HTML语言务实[M].北京:机械工业出版社,2005年6月,50-112. [6] 微软公司.SQL Server 2005 数据库开发与实现.高等教育出版社,2009年8月. [7] 微软公司..NET Framework 2.0 程序设计.高等教育出版社,2007年7月. [8] 微软公司.Visual C# 2005 程序设计语言.高等教育出版社,2008年2月. [9] Stephen Walther. https://www.doczj.com/doc/5613293752.html, 3.5 Unleashed. Sams, January 7, 2008. [10] 胡海璐,彭接文,胡智宇等.XML Web Services高级编程范例,北京:电子工业出版社,2003:36-37. [11] 韩小良等编著.Excel+SQL Server数据库管理技术详解.机械工业出版社,2008-6-1. [12] 慕西亚诺(Musciano,C.),肯尼迪(Kennedy,B.)著,技桥译. HTML和XHTML权威指南.清华大学出版社,2004-6-1.

出租车计价器课程设计

摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器 》 @

第一章绪论 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国内各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 / 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的内部硬件结构和指令系统主要是针对自动控制应用而设计的所以单片机又称微控制器MCU(Micro Controller Unit)。用它可以很容易地将计算机嵌入到各种仪器和现场控制设备中,因此单片机又叫做嵌入式微控制器(Embedded MCU)。单片机自20世纪70年代问世以来,以其鲜明的特点得到迅猛发展,已广泛应用于家用电器、智能玩具、智能仪器仪表、工业控制、航空航天等领域,经过30多年的发展,性能不断提高,品种不断丰富,已经形成自动控制的一支中坚力量。据统计,我国的单片机年容量已达1~3亿片,且每年以大约16%的速度增长,但相对于国际市场我国的占有率还不到1%。这

基于单片机的出租车计价器设计报告

理工大学 《单片机应用与仿真训练》设计报告出租车计价器设计 学号: 专业班级: 指导老师: 所在学院: 2012年6月25日

摘要 本设计用直流电机转动模拟出租车车轮转动,实现出租车多功能的计价功能。设计采用AT89S52单片机为主控芯片,用光电对管检测电机转盘转速,采用24C02芯片为系统在掉电时路程、钱数等重要信息提供存储保护,采用两个四位8段共阴数码管显示里程、总价格和等待时间等信息。本设计可以实现单双程设置、系统暂停、空车指示、信息显示、掉电保护等功能。 出租车计价是根据车所行驶的路程以及乘客乘车的方式综合决定的。出租车行驶总路程可以通过车轮的周长乘车轮旋转圈数得到。即可计算得到车轮旋转几周出租车能行驶一公里的路程。使用光电对管能方便地计量车轮旋转的圈数,输出的脉冲信号被接入到AT89S52单片机系统中,通过计算接收到的脉冲个数,计算出当前所行驶的路程,并且可以计算实时的速度。与此同时,根据不同的收费标准计算收费。通过键盘能够实现往返设置,启动、暂停、停止计价器、设置单返程等。 关键字:出租车计价器,AT89S52,24C02,光电对管 Abstract This design with DC motor to rotate the analog taxi wheels turning, multi-purpose taxi pricing function. Design using AT89S52 MCU for master chip with a photoelectric tube test motor turntable speed, AT24C02 chip to make the system power-down distance, money and other important information on the storage

单片机出租车计价器课程设计

嵌入式系统基础 课 程 说 明 书 设计题目:出租车计价器 学院:太原理工大学现代科技学院 班级:电子信息 姓名: 学号: 一、设计题目: 出租车计价器 二、设计思路: 如今单片机由于其体积小,性能完善,所以广泛应用于各个行业中。在出租车上,计价器便采用了单片机的应用技术,采用一系列的外部设备将出租车行驶以及停车等各个状态输入单片机,通过程序加以运算控制,再输出显示以及打印等。 我们试着用所学的知识,设计并模拟出租车计价器的工作方式,通过实践来掌握应用设备的工作原理。 三、设计任务 ●出租车计价器需要的主要外部设备有公里计数器,以及显示、定时装置,通过线路连 接构成一个完整的系统。 ●公里计数器设想:利用光电或者霍尔效应原理对车轮转过360°角位移产生一个脉冲 信号送给单片机作为一定距离的计数值。

●显示器:用LED显示,动态扫描显示,不断输出即时价格已及时间。 ●定时装置(本设计中未引入):记录在停车或某些情况下的时间,用于辅助计价。 四、里程计数器的原理(光电式) 在与车轴同步的轴上装有一隔光盘,上仅有以窄缝可以通光。在隔光盘两侧分别装有与车体固定的光源和感光元件。当隔光盘随轴转动时,光源发出的光被隔光盘隔离,只有在窄缝处感光元件接收到光信号,对后续电路产生一个脉冲。这就是对里程转换为计数值的基本原理。 其产生的脉冲信号则作为单片机计数器的输入信号,当单片机中计数器计到某一数量即为车行驶一公里,并对价格进行增加。在没有实际对这样计数装置试用,只能对其原理进行分析,在之下的程序设计中只取其脉冲信号作为单片机输入信号()。 五、LED显示电路 对Array设计总 体分析 下,只 需对其 价格进 行显示,选用四个LED数码管分别显示价格的百位、十位、个位以及十分位(单位:元)。显示方式采用动态扫描的方式,数据输出为P0口,位选为P2口,共阴极(如图)。 六、计价器的计价要求 ●计价方式:起价(两公里)白天8元,晚上元;由一开关进行选择。每公里元。 ●转数与公里数:汽车轮胎周长近似为1.5米,故一百米需要转过67圈,计67个数产 生一个溢出中断。 七、电路原理图及引脚 八、源程序 ORG 0000H ;起始地址 AJMP START ORG 001BH ;计数中断地址 LJMP CNT1 ORG 0030H ;主程序地址 START: MOV PSW, #00H MOV IE, #88H ;IE=B MOV TMOD, #60H ;TMOD=01100000B,T1工作方式2 MOV TH1, #0BDH ;自动重装 MOV TL1, #0BDH ;计67个数 SETB TR1 ;计数器启动 CLR ;点亮小数点dp MOV R4, #0FFH ;置数-1

数字钟文献综述

单片微型计算机的诞生是计算机发展史上一个重要的里程碑。近年来,随着单片机档次的不断提高,功能的不断完善,其应用日趋成熟、应用领域日趋广泛,特别是工业测控、尖端武器和日常家用电器等领域更是因为有了单片机而生辉增色,不少设备、仪器已经把单片机作为核心部分。单片机应用技术已经成为一项新的工程应用技术。尤其是Intel公司生产的MCS-51系列单片机,由于其具有集成度高、处理功能强、可靠性高、系统结构简单、价格低廉等优点,在我国得到了广泛的应用,在智能仪器仪表机电一体化等方面取得了令人瞩目的成果。 现在单片机可以说是百花齐放,百家争鸣,世界上各大芯片制造公司都推出了自己的单片机,从8位,16位,到32位,数不胜数,应有尽有由于主流C51兼容的,也有不兼容的,但他们各具特色,互成互补,为单片机的应用提供了广泛的天地。单片机也被称为“微控制器”、“嵌入式微控制器”、“单片微控制器”,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。这些芯片器件的结构、外部连线及使用方法,在设计系统前必须了解。只有对芯片的内部结构和使用方法都非常了解,才有可能做出好的设计, 在设计数字钟的前期过程中,我阅读了许多有关单片机的书籍与文献,例如哈尔冰工业大学出版社出版的《MCS-51单片机应用设计》,机械工业出版社出版的《单片机控制实训》,华中科技大学出版社出版的《单片机课程设计》,北京电子工业出版社出版的《单片机原理与接口技术》等,使我从中对单片机的原理和系统的设计有了不同程度的学习,同时还让我了解到单片机先进的技术和应用。 在张大明老师编著的《单片机控制实训》中,我了解到MCS-51系列单片机是美国Intel公司在1980年继MCS-48系列8位单片机之后推出的8位单片机,其具有通用性强、体积小、性价比高等优点。单片机的许多新品种都是继承了51系列单片机的技术内核开发出来的,他们与51系列单片机相互兼容,可以互换。目前51系列单片机仍是国内单片机应用及教学领域的主流产品。书中以51系列单片机位控制主体,介绍了51系列单片机片成的基础实操和综合应用,包括C51语言编程的单片机输出控制实操、输入与输出综合控制实操、定时器计数器功能的实操、中断功能的实操、LED点阵模块显示汉字的实操、LCD点阵模块显示汉字的实操,还有单片机控制在各种领域中的综合应用实例,如PC(个

出租车计价器课程设计

. 摘要 本设计的是一个基于单片机STC89C52的出租车自动计费设计,附有复位电路,时钟电路,键盘电路等。复位电路是单片机的初始化操作,除了正常的初始化外,为摆脱困境,通过复位电路可以重新开始。时钟电路采用12MHz的晶振,作为系统的时钟源,具有较高的准确性。 在上电时字符型液晶1602显示最初的起步价,里程收费,等待时间收费三种收费,通过按键可以调整起步价,里程收费,等待时间收费。通过按键模拟出租车的运行,暂停,停止。在1602液晶上可以显示运行的时间,运行时暂停的时间,通过计算可以得出总共的费用和总的路程。在这里主要是以STC89C52单片机为核心控制器,P1口接1602液晶显示模块。 关键字STC89C52;1602液晶;出租车计费器

第一章绪论 1.1 出租车计价器概述 我国在70年代开始出现出租车,但那时的计费系统大都是国外进口不但不够准确,价格还十分昂贵。随着改革开放日益深入,出租车行业的发展势头已十分突出,国各机械厂家纷纷推出国产计价器。出租车计价器的功能从刚开始的只显示路程(需要司机自己定价,计算后四舍五入),到能够自主计费,以及现在的能够打一发票和语音提示、按时间自主变动单价等功能。随着城市旅游业的发展,出租车行业已成为城市的窗口,象征着一个城市的文明程度。 本次设计的目的在于现在各大中城市出租车行业都已普及自动计价器,所以计价器技术的发展已成定局。而部分小城市尚未普及,但随着城市建设日益加快,象征着城市面貌的出租车行业也将加速发展,计价器的普及也是毫无疑问的,所以未来汽车计价器的市场还是十分有潜力的。 1.2 单片机的概述 计算机系统已明显地朝巨型化、单片化、网络化三个方向发展。巨型化发展的目的在于不断提高计算机的运算速度和处理能力,以解决复杂系统计算和高速数据处理,比如系统仿真和模拟、实时运算和处理。单片化是把计算机系统尽可能集成在一块半导体芯片上,其目的在于计算机微型化和提高系统的可靠性,这种单片计算简称单片机。单片机的部硬件结构和指令系统主要是针对自动控制应

基于单片机的出租车计价器设计报告

《单片机应用与仿真训练》设计报告出租车计价器设计 姓名学号: 专业班级: 指导老师: 所在学院: 2012年6月25日

摘要 本设计用直流电机转动模拟出租车车轮转动,实现出租车多功能地计价功能.设计采用AT89S52单片机为主控芯片,用光电对管检测电机转盘转速,采用24C02芯片为系统在掉电时路程、钱数等重要信息提供存储保护,采用两个四位8段共阴数码管显示里程、总价格和等待时间等信息.本设计可以实现单双程设置、系统暂停、空车指示、信息显示、掉电保护等功能. 出租车计价是根据车所行驶地路程以及乘客乘车地方式综合决定地.出租车行驶总路程可以通过车轮地周长乘车轮旋转圈数得到.即可计算得到车轮旋转几周出租车能行驶一公里地路程.使用光电对管能方便地计量车轮旋转地圈数,输出地脉冲信号被接入到AT89S52单片机系统中,通过计算接收到地脉冲个数,计算出当前所行驶地路程,并且可以计算实时地速度.与此同时,根据不同地收费标准计算收费.通过键盘能够实现往返设置,启动、暂停、停止计价器、设置单返程等. 关键字:出租车计价器,AT89S52,24C02,光电对管 Abstract This design with DC motor to rotate the analog taxi wheels turning, multi-purpose taxi pricing function. Design using AT89S52 MCU for master chip with a photoelectric tube test motor turntable speed, AT24C02 chip to make the system power-down distance, money and other important information on the storage protection, the use of two four-segment common cathode digital display tube mileage, the total price and waiting time information. This design enables single and double-way set, system halted, empty instructions, information display, power-down protection and other functions. The taxi meter according to the distance traveled by the vehicle and passengers ride the decision. Taxi driving the total distance by the circumference of the wheel drive wheel rotation laps. Can be calculated by the wheel rotation a few weeks a taxi to travel one kilometer away. The use of opto-the number of turns of the tube can be easily measured wheel rotation, the output

出租车计价器控制电路的设计方案

数字电子课程设计 课题名称出租车计价器控制电路的设计 所在院系机电学院 班级07自动化<2)班 学号200710320227 姓名龚涛 指导老师张玲 时间2009-12-27

景德镇陶瓷学院 数字电子课程设计任务书 班级:07自动化<2)班姓名:龚涛指导老师:张玲

教研室主任签字:年月日 目录 0.前言4 1.设计要求及原理4 1.1 设计要求4 1.2 基本原理4 2.各单元设计说明5 2.1 单片机最小系统单元5 2.2 A44E霍尔传感器检测单元6 2.3 AT24C01存储单元7 2.4 键盘调整单元8 2.5 显示单元9 3程序设计9 3.1 系统主程序9 3.2 中断程序10 3.2.1 里程计数中断程序10

3.2.2 中途等待中断程序10 3.3 计算程序10 3.4 显示程序10 3.5 键盘程序10 4.0总电路图11 5.0原器件清单12 6.0参考文献12 0.前言 随着出租车行业的发展,出租车已经是城市交通的重要组成部分,从加强行业管理以及减少司机与乘客的纠纷出发,具有良好性能的计价器对出租车司机和乘客来说都是很必要的。而采用模拟电路和数字电路设计的计价器整体电路的规模较大,用到的器件多,造成故障率高,难调试。而采用单片机进行的设计,相对来说功能强大,用较少的硬件和适当的软件相互配合可以很容易地实现设计要求,且灵活性强,可以通过软件编程来完成更多的附加功能。本设计采用AT89S52单片机为主控器,以A44E霍尔传感器测距,实现对出租车的多功能的计价设计,并采用AT24C01实现在系统掉电的时候保存单价等信息,输出采用8段数码显示管。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜和中途等待来调节单价。 1.设计要求及原理 1.1 设计要求

出租车计价器的设计【开题报告】

开题报告 电气工程及其自动化 出租车计价器的设计 一.综述本课题国内外研究动态,说明选题的依据和意义: 随着我国经济的迅速发展,人民生活水平的显著提高,城市的交通日趋完善,出租车计价器的应用也越来越广泛。虽然私家车的拥有量在大幅度地提高,但是出租车还是在我国的交通运输中承担着重要的角色,出租车计价器是出租车上必不可少的重要仪器,它是负责出租车营运收费的专用智能化仪表。用户不仅要求计价器性能稳定、计价准确,而且随着功能越来越多对其他方面要求也越来越高。 出租车行业在我国是八十年代初兴起的一项行业,伴随着我国国民经济的高速发展,出租车已成为城市公共交通的重要组成部分,多年来国内普遍使用的计价器只具备单一的计量功能,不能很好的满足市场的需求。在我国第一家生产计价器的企业是重庆市起重机厂。发展到现在,国内生产计价器的企业已经有上百家,主要是集中在北京,上海,沈阳和广州等地。 最早的计价器全部采用机械齿轮结构,只能完成简单的计程功能,可以说早期的计价器就是一个里程表。随着科学技术的发展,产生了第二代计价器。它采用了手摇计算机与机械结构相结合的方式,实现了半机械半电子化,此时它在计程的同时还可以完成计价的工作。 大规模集成电路的发展又产生了第三代计价器,也就是全电子化的计价器,它的功能也在不断完善。当单片机出现并应用于计价器后,现代出租车计价器的模型也就基本出现了,它可以完成计程,计价,显示等基本工作。单片机以及外围芯片的不断发展也促进了计价器的发展,现在出租车计价器在使用时具备的主要功能是根据行驶里程计价,并且要求精度高,可靠性好。 近年来,我国出租汽车行业迅猛发展,出租汽车已经成为我国城市公共交通的重要组成部分和现代化城市必备的基础设施,成为人们工作、生活中不可缺少的交通工具。出租汽车服务行业和出租汽车计价器紧密相关,因为出租汽车必须安装出租汽车计价器才能投入营运。出租汽车计价器是一种能根据乘客乘坐汽车行驶距离和等候时间的多少进行计价,并直接显示车费值的计量器具。计价器是出租汽车的经营者和乘

相关主题
文本预览
相关文档 最新文档