当前位置:文档之家› 基于单片机学校打铃系统

基于单片机学校打铃系统

基于单片机的学校打铃系统

摘要:自动打铃系统,是以一片8位单片机为核心的实时时钟及控制系统。我们知道单片机的外接石英晶体振荡器能提供稳定、准确的基准频率,并经12分频后向内部定时器提供实时基准频率信号,设定定时器工作在中断方式下,连续对此频率信号进行分频计数,便可得秒信号,再对秒信号进行计数便可得到分、时等实时时钟信息。如果石英晶体振荡器的频率信号为6MHZ,设定定时器定时工作方式1下,定时器为3CBOH,则定时器每100ms 产生1次中断,在定时器的中断定时处理程序中,每10次中断,则向秒计数器加1,秒计数器计数到60则向分计数器进位(并建立分进位标志),分计数器计数到60,则向时计数器进位,如此周而复始的连续计数,便可获得时、分、秒的信号,建立一个实时时钟。接下来便可以进行定时处理和打铃输出,当主程序检测到有分进位标志时,便开始比较当前时间(小时与分、存放在RAM中)与信息时间表上的作息时间(小时与分,存放在ROM)是否相同,如有相同者,则进行报时处理并控制打铃,如有不相同则返回主程序,如此便实现了报时控制的要求。

关键字:单片机;时间设置电路;计时电路;显示电路;定时打铃控制电路

0 前言

随着科技的不断发展,各种芯片都得到了很好的发展,80C52 同样如此,从开始的无人问津到现在的随处可见,红绿灯,记分牌,电子秒表,遥控器,电饭煲,电视等只要是电子产品,都会和芯片有关,其实芯片并不是什么神秘的高科技,它只是里面装了一些己编好的程序而己.而这里要介绍的是用C语言来编程的一个系统,它能够让一个学校实现打铃自动化,总之,一个需要时间系统的机构实现自动提醒功能。

当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。而本文是用STC89C52单片机设计的一个自动打铃系统。

1 总体方案设计

1.1 设计要求

它可以作为时钟电路来显示时间,进行设置,定时打铃。按照自顶向下设计方法划分自动打铃系统的功能。可分为:时间设置电路,计时电路,显示电路和定时打铃控制电路等。以学校的打铃情况设计:

内容时间

早自习7:10~7:40

上午第1节课7:50~8:35

上午第2节课8:40~9:25

上午第3节课9:45~10:30

上午第4节课10:35~11:20

下午第5节课14:00~14:45

下午第6节课14:50~15:35

下午第7节课15:40~16:25

下午第8节课16:30~17:15

晚自习19:00~21:00

熄灯23:00

表1 系统原理框图

1.2 设计方案选择

1.2.1 方案一:数字电路设计的自动打铃系统

利用函数信号发生器来进行脉冲信号输出,利用74160N来设置十进制和六进制的进位输出。利用数码显示器来显示时间,利用或门、与门、非门、与非门、等电路元件进行组合实现打铃的控制。

1.2.2 方案二:基于单片机的自动打铃系统设计

单片机内部存储器设三个字节分别存放时钟的时、分、秒信息。利用定时器与软件结合实现1秒定时中断,每产生一次中断,存储器内相应的秒值加1;若秒值达到60,则将其清零,并将相应的分字节值加1;若分值达到60,则清零分字节,并将时字节值加1;若时值达到24,则将时字节清零。建立完一个实时时钟后接下来进行定时处理和打铃输出,当主程序检测到有分进位标志时,便开始比较当前时间与信息时间表上的作息时间是否相同,相同者,则进行报时处理并控制打铃,不相同则返回主程序,打铃又分为夏季和冬季二种作息时间。

1.2.3 方案确定

方案一的设计只能事先设定打铃时间不能完全自动打铃,且在修改打铃时间上存在一定的困难。而方案二中的设计能完全实现自动化,诠释了我们这次毕业设计的主题。并在修改打铃时间上有了很大的方便,只需修改一部分程序便能实现不同的需要。因此我选择方案二进行设计。

1.3 基本方案

1.3.1 设计课题简要概述

自动打铃装置用于工厂、学校等地的时间控制,本设计是按照学校作息时问设定的,模拟了电了钟显示时、分、秒。还根据学校的作息时间按时打铃,本系统有4 个按钮,分别用来设置、加、减和夏季/冬季,以保证始终与标准时间相吻合。

首先设计出本系统的硬件基本框图,根据框图设计电气原理图,简要概述基本原理,按照设计技术参数设计出各部分程序。

1.3.2 系统软硬件划分

由于需要最小系统设计,因此,极大地介于系统的硬件成本,所有能用软件实现的功能都用软件完成,如按键的去抖,采用延时,显示部分用动态显示等,这样硬件部分的设计可以采用单片机最小系统,所谓最小系统时仅有程序存储器和时钟及复位电路的单片机系统。

1.3.3 单片机选型

根据课题的具体内容,任务要求,计时、校时、定时、键盘显示等功能,经多方面考虑,所选系统选项用.与MSC-51单片机完全兼容的STC89C52 低功耗单片机。

1.4 总体设计框图

图1 整体框图

2 硬件电路设计

2.1 基本原理概述

本系统主要由主控模块,时钟模块,显示模块,键盘接口模块等 4 部分构成。通过内部定时产生中断,从而使驱动电铃打铃。设定51 单片机工作在定时器工作方式1 ,每10ms产生一次中断,利用软件将基准10ms 单元进行累加,当定时器产生

10 0次中断就产生lS 信号,这是秒单元加1 。同理,对分单元和时单元计数从而产生分,时的值,通过四位七段显示器进行显示。由于动态显示法需要数据所存等硬件,接口较复杂,考虑显示只有四位,且系统没有其他浮躁的处理程序,所有采用动态扫描LED 的显示。

本系统采用四个按键,调整时钟时间,当时钟时间和设置时间一直时,驱动程序动作,进行打铃,每次打铃60S。

2.2 主要原件参数及功能简介

2.2.1 主控器STC89C52

STC公司生产的STC89C52 单片机用高性能的静态89C52 设计,由先进工艺制造,并带有非易失性FLASH 程序存储器,它是·种高性能、低功耗的8 位CMOS 微处理芯片,市场应用最多,主要特点有:8K字节程序存储空间;512字节数据存储空间;内带2K字节EEPROM存储空间;可直接使用串口下载;电源控制模式:时钟可停止和恢复,空闲模式,掉电模式;6个中断源;4个中断优先级;4个8位I/O口全双工增强型UART;2个16位定时、计数器。

2.3 单元电路的设计

2.3.1显示电路设计

显示部分采用带时间显示的共阳数码管显示,采用动态扫描,以减少硬件电路,数码管分别为十时,时,十分,分显示,显示时采用串行口输出段码。

图2 显示电路

2.3.2 键盘接口电路设计

由于键盘只有四个,采用独立式按钮,分别与STC89C52 的P1.0, P1.1, P1.2和P1.3相连,用普通按钮10K 上拉电阻,用查询法完成读健功能。

图3 按键电路

2.3.3 响铃电路设计

响铃电路用到了蜂鸣器、三极管、3.3K电阻。蜂鸣器两端分别接地和三极管。三极管一段电源另一端与电阻相连并接入STC89C52的P3.7接口。

图4 响铃电路

2.4 总体运行进程

首先实现24小时制电子钟,在4位数码管显示,显示为时分,实现的格式为:23:59。到达预定时间启动蜂鸣器开始打铃,打铃的方式分为早读、熄灯和上下课铃3种。系统使用了4个按键,用来调整时间。通过选择键选择调整位,选中位闪烁,按增加键为选中位加1,按减少键为选中位减1。

3 软件设计

3.1 基本原理概述

主程序首先是初始化部分,主要是计时单元清零,中断初始化,堆栈指针初始化,启动定时器工作,然后是调用显示子程序。主程序的起始存储地址是0000H单元,但由于本系统用了定时器T0的中断,中断服务程序入口地址为000BH,因此从0000H 单元起存放一条短调转指令AJMP,使真正的主程序从0300H单元开始存放。

3.1.1 中断服务程序设计

单片机内部的定时/计数器T0定时10ms,即0.01s,100次中断即为1秒,60秒为1分,60分为1小时,24小时为一天,如此循环,从而实现计时功能。

编写中断服务程序关键要注意:1.现场保护,本系统中是累加器A和程序状态字PSW值的保护。2.计时处理时采用的确十进制,因此时,分,秒单元加1后要进行十进制调整,即要执行DAA指令,还要注意的是时计到24就回零,分和秒计到60就回零。3.中断返回前的现场恢复。

3.1.2 显示程序设计和按键判断与按键处理程序设计

显示采用的是动态显示,段控和位控都经过反相器,显示的字形代码是共阳的显示代码,位控信号输出时是高电平有效,在校时时,采用的是点亮小数点信位调节器标志,哪位小数点亮表示调整的是该为的值。

显示子程序的第一部分是拆字,显示缓冲区是2FH—2AH;第二部分是查字型码,输出段控和位控信号,由于采用的是动态显示,所以每出输出一位的段控和位控信号要延时一定的时间,使LED显示器显示的字符时稳定的。

按键判断程序有编写时应注意按键的去抖动,该系统采用的是延时去抖动的方法,延时是通过调用子程序来实现的,每个按键按下后都要等待释放后再返回。

按键处理程序中的按键式校时的,所以进入按键处理程序后就关闭定时中断,对于动能键注意设置显示标志。

3.2 流程图

3.2.1 系统主程序流程图

图5 主程序流程图4 调试分析

图6 硬件正面图

硬件焊接严格按照电路图。焊接时要注意短路、虚焊、缺焊的情况都不要出现,而且尽量减少跳线的出现。在每一次焊接完成后都要认真检查有没有出现错误,避免在总体的焊接完毕后,出现不知所以的问题。

下载程序完成后,再次检查无误后进行调试。加电后,在一切正常的情况下,数码管显示。

5 结论及进一步设想

完成自动打铃系统设计的毕业设计我能综合运用电子技术课程中的所学到的理论知识来完成自动打铃机的设计和分析电路,学会了在虚拟的环境下创建电路,计算和调整参数,我能灵活的应用PROTEUS0软件画图,并且掌握了一定的单片片机知识,通过这门课的设计我还有以下几点收获:

(1)有利于基础知识的掌握

通过这次设计我亲手做,自己思考,将理论知识上升到实践的高度,从而进一步打破了单片机的神秘面纱。

(2)有利于逻辑思维的锻炼。

在许多常规可生的日常学习中我们不难发现这样一个现象,不少学生的思维常处于混乱的状态,写作文来前言不搭后语,解起数学题来步骤混乱,这些都是缺乏思维训练的结果,程序设计是公认的,最能直接有效的训练学生的创造思维,培养分析问题解决问题的能力的方法之一。即使见到陌生的程序,从任务分析,确定算法界面布局,缩写代码到调试运行,都能顺利完成。

整个过程需要我们有条理的构思,这之间有猜测设计,判断思维的抽象思维训练,又有分析问题解决问题,预测日标等能力的培养。

参考文献

[1] 刘复华.单片机及其应用系统[M].北京:清华大学出版社,1992.

[2] 潘新民,王燕.微型计算机控制技术[M].北京:电子工业出版社,2003.

[3] 郑新才,陈刚. 电机原理及其应用[M].北京:中国水利水电出版社,2008.

[4] 余永权,汪明慧,单片机在控制系统中的应用[M].北京:电子工业出版社,2003.

[5] 胡汉才.单片机原理及其接口技术[M].北京:清华大学出版社,2004.

[6] 孙涵芳,徐爱卿.MCS-51/96系列单片机原理及引用[M].北京航空航天大学出版社,1996.

[7] 孙育才,苏学成.单片微型计算机应用系统设计与实现[M].东南大学出版社,1990.

[8] 沈红卫.基于单片机的智能系统设计与实现[M].电子工业出版社,2005.

[9] 杨宏丽,王静霞.单片机基础教程[M].人民邮电出版社,2009.

[10] 赵星寒,刘小波,王庚兰.从0开始教你学单片机[M].北京航空航天大学出版社,2008.

[11] 张志良.单片机原理与控制技术[M].机械工业出版社,2009.

[12] 李光弟.单片机基础[M].北京航空航天大学出版社,1992.

[13] 林毓梁.单片机原理及应用[M].机械工业出版社,2009.

[14] 唐继贤.51单片机工程引用实例[M].北京航空航天大学出版社,2009.

课设体会

为时两周的课设结束了,可是我仍然有些意犹未尽的感觉,我感受到了实际操作给我们带来的乐趣,在老师和同学的帮助下,我的动手能力有了很大的提升。此次课设不但让我巩固了上课时所学到的内容,而且还学到了许多课堂上学不到的知识。

在此我要对吴星刚老师表示深深的感谢,课设的每一步,从选题构思、收集资料到论文结稿,无不倾注着导师的心血和汗水。这段时间以来,我的点滴进步都离不开老师的栽培与帮助,从您身上我深切体验到了“桃李不言,下自成蹊”的无私与伟大。吴老师渊博的知识、扎实的理论基础和严谨的治学态度使学生受益匪浅。吴老师对科学精益求精、不断进取的精神和严以律己、宽以待人的崇高品质对我是永远的鞭策。吴老师的教诲与关爱给了我莫大的信心和力量。吴老师以自身孜孜以求、从容奋进的人生态度,为我在工作、学习以及生活中遇到的许多两难选择提供了无声的指导。在本科毕业论文成稿之际,我由衷地向吴老师表示诚挚的谢意。

[2016年1月 10日完成]

附录1 电路原理图

XT AL2

18

XT AL1

19

ALE 30EA

31

PSEN 29RST

9

P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD

17

P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1

AT89C51

C1

30pF

C2

30pF

X1

R1

10k

C3

10uF

Q1

9012

LS1

SPEAKER

VCC

R02

1k

R15

100

D2

LED-GREEN

时间调整

确认

电源指示灯

23456789

1

RP1

RESPACK-8

蜂鸣器

显示时间

晶振电路

复位电路

XTAL2

18

XTAL1

19

ALE 30EA

31

PSEN 29RST

9

P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD

17

P3.6/WR 16P3.5/T115P2.7/A1528P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1

AT89C51

C1

30pF

C2

30pF

X1

R1

1

D 7

14

D 613D 512D 411D 310D 29D 18D 07

E 6

R W 5R S 4V S S 1V D D 2V E E

3

LCD1

LM016L

23456789

1

RP1

RESPACK-8

C3

22nF

RV1

100K

复位电路

晶振电路

LCD1602液晶屏

vcc s1s0

led gnd vcc s3s2

out gnd vcc GND

U2GY-31

GND

附录2 程序清单

#include

#define uint unsigned int

#define uchar unsigned char //宏定义

sbit k1=P1^0;

sbit k2=P1^1;

sbit k3=P1^2;

sbit k4=P1^3;

sbit p1=P2^6;

sbit p2=P2^5;

sbit p3=P2^4;

sbit p4=P2^3;

sbit dp=P0^7;

sbit fmq=P3^4;

int a,b,c,d;

int shi=0,fen=0,miao=0;

int moshi=0;kaishi=0;tiaozheng=0;count1=0;count2=0;count3=0;ss=0;naozhong=0; uchar code LEDData[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0xff};

/*****延时子程序*****/

void Delay(uint i)

{

while( i-- );

}

void qudou(void) //去抖延迟

{ int i;

for(i=0;i<2400;i++);

}

/*****显示温度子程序*****/

void xianshi()

{p1=0;p2=0;p3=0;p4=0;

P0 =LEDData[d];

p1=1;p2=0;p3=0;p4=0;

Delay(300);

p1=0;p2=0;p3=0;p4=0;

P0 =LEDData[a];

p1=0;p2=1;p3=0;p4=0;

Delay(300);

p1=0;p2=0;p3=0;p4=0;

P0 =LEDData[b];

if(count1<46)

dp=0;

else

dp=1;

p1=0;p2=0;p3=1;p4=0; Delay(300);

p1=0;p2=0;p3=0;p4=0;

P0 =LEDData[c];

p1=0;p2=0;p3=0;p4=1;

Delay(300);

p1=0;p2=0;p3=0;p4=0;

}

void shuju1()

{switch(tiaozheng)

{case 1: if(ss==0)

{a=shi/10;

b=shi%10;}

else

{a=10;

b=10;}

c=fen/10;

d=fen%10;

miao=0;

break;

case 2: a=shi/10;

b=shi%10;

if(ss==0)

{c=fen/10;

d=fen%10;}

else

{c=10;

d=10;}

miao=0;

break;

}

}

void tiaozhengmoshi()

{ while(tiaozheng)

{

if (k1==0) //调整

{ qudou();

if(k1==0)

{tiaozheng++;

if(tiaozheng==3) tiaozheng=0;

}while(k1==0);

}

if (k2==0) //加

{ qudou();

if(k2==0)

{switch(tiaozheng)

{case 1: shi++;if(shi>=24) shi=0; break;

case 2: fen++;if(fen>=60) fen=0; break;

}

}while(k2==0);

}

if (k3==0) //加

{ qudou();

if(k3==0)

{switch(tiaozheng)

{case 1: shi--;if(shi<0) shi=23; break;

case 2: fen--;if(fen<0) fen=59; break;

}

}while(k3==0);

}

if (k4==0) //加

{ qudou();

if(k4==0)

{tiaozheng=0;

}while(k4==0);

}

shuju1();

xianshi();

}

}

void key()

{

if (k1==0) //按键按下

{ qudou();

if(k1==0)

{tiaozheng=1;

}while(k1==0);

}

if(tiaozheng==1)

tiaozhengmoshi();

}

void shuju()

{ a=shi/10;

b=shi%10;

c=fen/10;

d=fen%10;

}

void dingshi()

{ if(shi==7 && fen==10) naozhong=1;

else

if(shi==7 && fen==40) naozhong=1;

else

if(shi==7 && fen==50) naozhong=1;

else

if(shi==8 && fen==35) naozhong=1;

else

if(shi==8 && fen==40) naozhong=1;

else

if(shi==9 && fen==25) naozhong=1;

else

if(shi==9 && fen==45) naozhong=1;

else

if(shi==10 && fen==30) naozhong=1;

else

if(shi==10 && fen==35) naozhong=1;

else

if(shi==11 && fen==20)

naozhong=1;

else

if(shi==14 && fen==0) naozhong=1;

else

if(shi==14 && fen==45) naozhong=1;

else

if(shi==14 && fen==50) naozhong=1;

else

if(shi==15 && fen==35) naozhong=1;

else

if(shi==15 && fen==40) naozhong=1;

else

if(shi==16 && fen==25) naozhong=1;

else

if(shi==16 && fen==30) naozhong=1;

else

if(shi==17 && fen==15) naozhong=1;

else

if(shi==19 && fen==0) naozhong=1;

else

if(shi==21 && fen==0) naozhong=1;

else

if(shi==23 && fen==0) naozhong=1;

else

naozhong=0;

}

/*****主函数*****/

void main(void)

{ TMOD = 0x01;

TH0 = 0x0D8;

TL0 = 0x0F0;

EA = 1;

ET0 = 1;

TR0 = 1;

while(1)

{ key();

shuju();

xianshi();

dingshi();

}

}

void time0(void) interrupt 1

{ TH0 = 0x0D8;

TL0 = 0x0F0;

if(tiaozheng==0)

{count1++;

if(count1==92)

{count1=0;

miao++;

if(miao>=60) {miao=0;fen++;}

if(fen>=60) {fen=0;shi++;}

if(shi>=24) {shi=0;}

}

}

else count1=0;

if(tiaozheng>=1)

{count2++;

if(count2==50)

{count2=0;

ss=!ss;

}

}

else {count2=0;ss=0;}

if(naozhong==1)

{count3++;

if(count3==50)

{count3=0;

fmq=!fmq;

}

}

else

{fmq=1;count3=0;}

}

基于单片机的校园打铃系统设计_毕业设计说明书(论文)

信息职业技术学院 毕业设计说明书(论文) 设计题目: 基于单片机的校园打铃系统设计 专业: 应用电子技术 班级: 应电08-2 学号: 姓名: 指导教师: 二〇一〇年九月十日

信息职业技术学院毕业设计(论文)任务书 学生 学号班级应电08-2 专业应用电子技术 姓名 设计(或论文)题目基于单片机的校园打铃系统设计 指导教师姓名职称工作单位及所从事专业联系方式备注 工程师学01 设计(论文)内容:利用单片机做为主控器件,设计一校园打铃系统的硬件部分: 1.实现打铃时间的设置和修改; 2.显示当前日期、时间; 3.掉电情况下计时工作正常进行、能保存以前设置的时刻。 基本要求: 1.正确选择元器件完成相应功能; 2.设计整机电路、明晰系统工作原理; 3.系统仿真; 4.完成说明书撰写。 进度安排: 第3~6周:选题及查找相关资料主要查找与课题相关的资料; 第7周:相关资料的整理并对其进行理解; 第8周:对毕业论文的资料进行初步的整理; 第9周:期中检查; 第10周:对论文进行修改及进行仿真实验; 第11周:对毕业论文进行排版; 第12周:检查排版及内容; 第13周:加深对毕业论文的理解准备答辩; 第14周:检查毕业设计论文的地方准备答辩; 第15周:答辩。 主要参考文献、资料(写清楚参考文献名称、作者、出版单位): [1]苏平.单片机原理与接口技术.北京:电子工业出版社,2003 [2]林伸茂.8051单片机彻底研究实习篇.北京:人民邮电出版社,2005 [3]韩志军.单片机应用系统设计.北京:机械工业出版社,2005 [4]陈坤.电子设计技术.成都:电子科技大学出版社,1997 [5]郑应光.模拟电子线路(一).南京:东南大学出版社,2005 [6]李秀忠.单片机应用技术.北京:人民邮电出版社,2007 审 批 意 教研室负责人: 见 年月日 备注:任务书由指导教师填写,一式二份。其中学生一份,指导教师一份。

单片机自动打铃系统设计

自动打铃系统 ----学校上下课自动打铃设计 设计人: 要求:(1)实现上下课的打铃,并通过语音提示上下课;(2)按下开机键,显示当前年月日时间,在LCD液晶屏显示年,月,日,星期,时,分,秒,年-月-日-星期显示在第一行,格式xx-xx-xx-星期x;时分秒显示在第二行,格式xx-xx-xx(24小时格式); (3)能够设置当前时间; (4)使用语音芯片提示上下课,上课时提示:“亲爱的同学们,

上课了”,重复2遍,下课时提示:“亲爱的同学们,下课了“,重复2遍。 (5)允许使用时钟芯片。 《摘要》 单片机的外接石英晶体振荡器能提供稳定、准确的基准频率,并经12分频后向部定时器提供实时基准频率信号,设定定时器工作在中断方式下,连续对此频率信号进行分频计数,便可得秒信号,再对秒信号进行计数便可得到分、时等实时时钟信息。如果石英晶体振荡器的频率信号为6MHZ,设定定时器定时工作方式1下,定时器为3CBOH,则定时器每100ms产生1次中断,在定时器的中断定时处理程序中,每10次中断,则向秒计数器加1,秒计数器计数到60则向分计数器进位(并建立分进位标志),分计数器计数自动打铃系统,是以一片8位单片机为核心的实时时钟及控制系统。我们知道到60,则向时计数器进位,如此周而复始的连续计数,便可获得时、分、秒的信号,建立一个实时时钟。接下来便可以进行定时处理和打铃输出,当主程序检测到有分进位标志时,便开始比较当前时间(小时与分、存放在RAM中)与信息时间表上的作息时间(小时与分,存放在ROM)是否相同,如有相同者,则进行报时处理并控制打铃,如有不相同则返回主程序,如此便实现了报时控制的要求。

单片机课程设计之自动打铃系统

单片机课程设计之自动打铃系统 这是我们本学期的单片机课程设计题目,程序就是在昨天的数字钟的基础上增加了一些内容,不想继续做了,还有一门考试要复习。 设计一台自动打铃系统 一、设计任务 用单片机器件为主体,设计一台自动打铃系统。 (1)按照设计标准,画出系统框图和系统硬件电路图。 (2)完成该课题的程序设计,提交程序设计框图及程序设计清单。 (3)提交课程设计报告 二、设计要求 (一)基本要求 (1)基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数字显示,秒信号指示。 (2)能设置当前时间(含上、下午,时,分) (3)能实现基本打铃功能,规定: 上午6:00起床铃:打铃5秒、停2秒、再打铃5秒。 下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。 铃声可用小喇叭播放,凡是用到铃声功能的均按此处理 (二)发挥部分 (1)增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。 (2)增加调整起床铃、熄灯铃时间的功能。 (3)增设上午4节课的上下课打铃功能,规定如下: 7.30 上课,8.20下课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。 (4)特色和创新自选。 三、设计步骤 (1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏; (2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒; (3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能; (4)设计打铃执行机构,完成自动打铃功能。 四、课程设计说明书要求

自动打铃系统设计

<<综合课程设计>> 自动打铃系统设计报告 题目:自动打铃系统 专业:电子信息工程 年级: 学号: 学生: 联系: 指导老师: 完成日期: 2013年12月30日

自动打铃系统 摘要 在现如今快节奏的生活中,人们对于时间的要求越来越苛刻,很多时候需要对时间规划,然后到时间点就要有时间提醒,这就必须用到时中提醒装置,亦可称为打铃装置。打铃装置有很多种,比如手机的打铃系统,闹钟的机械打铃系统,广播打铃系统等等,但是日常生活中见得最多的还是校园的自动打铃系统。在学校生活中,每天上课都离不开打铃系统的使用。打铃器可以为上下课的学生和老师们提供时间提醒,有利于师生对上课和学习的合理安排。同事也可以作为一个提醒学生作息时间的时间表,让老师和学生都有一个规律科学的时间安排。因此,打铃系统的核心部分也是时钟部分,为系统提供时间基准。 本设计主要是针对适用于校园打铃系统要求的,其介绍了一种基于单片机的自动打铃系统的设计方法,系统以STC89C52单片机为控制器,以DS1302时钟芯片为系统提供时间,并在1602液晶显示器上显示,通过按键可以设定定时打铃时间和打铃间隔。系统软件设计采用C语言来完成,C语言语法简洁,使用方便,用于完成软件设计非常方便。 关键词:打铃器、STC89C52单片机、DS1302、LCD1602

ABSTRACT In today's fast-paced life, people are more and more requirements, in many cases need time to plan, and then to point in time will have time to remind, which must be used to remind device, also known as Bell equipment. Bell devices there are many, such as phone ringing system, the mechanical Bell alarm clock system, broadcast the Bell System, and so on, but in everyday life up to the school bell system automatically. In school life, are inseparable from the Bell system used in class every day. Bell can provide reminder of students and teachers to and from school, reasonable arrangements conducive to teachers and students on school and learning. Colleagues can be used as an alert student hours schedule, so that teachers and students have a timeline of the laws of science. Accordingly, Bell clock in the core part of the system, provide a time reference for the system. Designed primarily for the Bell System requirements apply to the campus, and introduces an automatic Bell system based on single-chip design methods, systems with STC89C52 single-chip controller, DS1302 provides the system time clock chip, in 1602 and displayed on the LCD by pressing the set ringing and ringing in intervals of time on a regular basis. System software design using the c language to complete, c language syntax is simple, easy to use, is very convenient for completing a software design. Key Words: t Bell, DS1302, collector, STC89C52 single-chip LCD1602

基于51单片机的自动打铃系统

机电信息工程学院 单片机系统课程设计报告 系:电子信息工程系 专业:电子信息工程 班级:072班 设计题目:自动打铃系统设计 学生姓名:张锡斌仇龙佳 指导教师:刘忠富于为民 完成日期:2010年5月31日

目录 一、设计任务和性能指标 (2) 1.1设计任务 (2) 1.2性能指标 (2) 二、设计方案 (2) 三、系统硬件设置 (3) 3.1、单片机最小系统 (3) 3.2时钟电路DS1302 (4) 3.3、显示电路的设计 (5) 3.4、键盘接口的设计 (5) 3.5打铃电路的设计 (6) 四、系统软件设计 (7) 4.1程序流程图 (7) 4.2主程序设计 (10) 4.3显示子程序的设计 (11) 五、调试及性能分析 (12) 5.1调试步骤 (12) 5.2性能分析 (12) 六、心得体会 (12) 参考文献 (13) 附录1 系统硬件电路图 (14) 附录2 程序清单 (15)

一、设计任务和性能指标 1.1设计任务 用单片机器件为主体,设计一台自动打铃系统。 (一)基本要求 1、基本计时和显示功能(用12小时制显示)。包括上下午标志,时、分的数 字显示,秒信号指示。 2、能设置当前时间(含上、下午,时,分)。 3、能实现基本打铃功能,规定:上午6:00起床铃:打铃5秒、停2秒、 再打铃5秒。下午10:30熄灯铃:打铃5秒、停2秒、再打铃5秒。铃声可用小喇叭播放,凡是用到铃声功能的均按此处理。 (二)发挥部分 1、增加整点报时功能,整点时响铃5秒,要求有控制启动和关闭功能。 2、增加调整起床铃、熄灯铃时间的功能。 3、增设上午4节课的上下课打铃功能,规定如下:7.30 上课,8.20下 课:8.30上课,9.20下课;9.40 上课,10.30下课;10.40上课,11.30下课;每次铃声5秒。 4、特色和创新自选。 1.2性能指标 1.时钟:上下午(1位)、时(2位) 、分(2位) 2.校对键:确认键/设置键、右移键/灭铃键、加键、减键 3.响铃:蜂鸣器二.设计方案 二、设计方案 按照系统设计的功能的要求,初步确定设计系统由主控模块、时钟模块、显示模块、键扫描接口电路共四个模块组成,电路系统构成框图如图1.1所示通过内部定时产生中断,从而驱动电铃打铃。电路系统构成框图如图1.1所示。主控芯片使用51系列AT89C52单片机,采用高性能的静态80C51设计,由先进工艺制造,并带有非易失性Flash程序存储器。它是一种高性能、低功耗的8位COMS 微处理芯片,市场应用最多。 时钟芯片使用美国DALLAS公司推出的一种高性能、低功耗、带RAM的实时时钟芯片DS1302。采用DS1302作为主要计时芯片、可以做到计时准确。更重要的是,DS1302可以在很小的电流的后备电源(2.5~5.5V电源,在2.5V时耗电小于300nA)下继续计时,并可编程选择多种充电电流对后备电源进行慢速充电,可以保证后备电源基本不耗电。采用串行数据传输,与单片机硬件连接简单,如果使用时钟芯片DS12887,将采用并行数据传输,占用更多的硬件资源。因此为节省单片机端口,时钟芯片采用DS1302。

根据FPGA的自动打铃系统的设计与实现

自动打铃系统设计说明书 学生姓名:罗衡 学号:14092500060 专业班级:电子09-2BF 报告提交日期:2011-11-28 湖南理工学院物电学院

目录 一、题目及要求简介 (1) 1.设计题目 (1) 2.总体要求简介 (1) 二、设计方案说明 (1) 三、各部分功能介绍及程序 (2) 1.系统框图 (2) 2.选择的FPGA芯片及配置 (2) 3.各模块(元件)说明 (2) 四、仿真结果 (4) 1.计时进位 (4) 2.手动校时 (5) 3.六点整闹铃 (5) 五、说明 (5) 1.输入激励信号说明 (5) 2.输出结果说明 (6) 六、源程序 (6) 1.顶层模块 (6) 2.模式控制子模块 (7) 3.计时及调整子模块 (8) 4.闹铃及调整子模块 (10) 5.显示子模块 (11) 七、参考文献 (14)

一、设计题目及要求简介 1.设计题目 基于FPGA 的自动打铃系统的设计与实现 2.总体要求简介 (1)基本计时和显示功能 ① 24小时制显示 ② 动态扫描显示 ③ 显示格式:88-88-88 (2)能设置当前时间(含时、分) (3)能实现基本打铃功能,上午06:00起床铃,打铃5秒 二、设计方案说明 本系统采用自顶向下的模块化设计方法,将数字闹钟按照功能实现分为模式控制模块、计时及调整模块、闹铃及调整模块、显示模块。系统调整部分软件控制流程示意图如图2-1所示。 图2-1 ↓ ↓ ↓ ↓ ↓ ↓ ↓ ↓ 开始 mode 计时功能 turn change 闹铃功能 调整小时 调整分钟 返回计时 LD_hour 亮 LD_min 亮 校时功能 调整小时 调整分钟 返回计时 LD_alert 亮 → → → ? ? → 切换 切换 ← 0 1 2

单片机自动控制打铃系统设计

单片机自动控制打铃系统,是以一片8位单片机为核心的实时时钟及控制系统.我们知道单片机的外接石英晶体震荡器能提供稳定、准确的基准频率,并经12分频后向内部定时器提供实时基准频率信号,设定定时器工作在中断方式下,连续对此频率信号进行分频计数,便可得到秒信号,再对秒信号进行计数便可得到分、时等实时时钟信息.如果石英晶体震荡器的频率信号为6MHZ,设定定时器定时器工作在方式1下,定时器为3CB0H,则定时器每100ms产生1次中断,在定时器的中断定时处理程序中,每10次中断,则向秒计数器加1,秒计数器计数到60则向分计数器进位(并建立分进位标志),分计数器计数到60,则向时计数器进位,如此周而复始的连续技术,便可获得时、分、秒的信号,建立一个实时时钟.接下来便可以进行定时处理和打铃输出,当主程序检测到有分进位标志时,便开始比较当前时间(小时与分、存放在RAM中)与信息时间表上的作息时间(小时与分,存放在ROM)是否相同,如有相同者,则进行报时处理并控制打铃,如有不相同则返回主程序,如此便实现了报时控制的要求. 2.2 ISP下载电缆的电路及程序设计简介 能实现ISP功能的硬件电路,通常被称为“下载电缆”。ATMEL公司推出的AT89S51/52单片机就具有ISP功能。用计算机并行口实现ISP功能,在电路设计上非常灵活。(1)下载电缆的电路设计: 计算机并行接口共有25个口线,主要包括数据端口D0~D7(端口地址为378H,用于数据输出);状态端口Busy、nAck、PE、Select、nError(端口地址为379H,用于数据输入);控制端口nSelin、nlnit、nStrobe(端口地址为37AH,用于输出控制)。从中选出4个口线来模拟ISP所需的引脚,就非常灵活,只需考虑数据的输入、输出方向及操作方便即可。但要注意同一端口的数据方向必须一致,例如数据端口是8位同时操作的,只能全部作为输入或输出,而不能将一部分做输入,另一部分做输出。 下载电缆的电路如图附件一所示。该电路主要包括并行接口电路、驱动隔离电路和JTAG接口电路3大部分,这里只所以说JTAG接口电路,是因为ISP传输虽然在协议上符合SPI协议,但引脚是按照JTAG标准而定义的,它们的对应关系如下:TCK对应SCK,D0对应MOSI,TMS对应RST,TDO对应MISO。 (2)并行接口电路 该电路是按计算机并行口标准定义的,在电路中采用nStrobe模拟TCK,用D0模拟TDI,用nSelin模拟TMS。用nACK模拟TDO。这样的定义方法就决定了TCK时钟和TMS的产生要由并行口的控制端口产生;TDI由并行口的数据端口产生,TDO要由状态端口获取,不同的端口操作地址不同,涉及程序的编写。这些对应关系见表:

毕业设计--单片机自动打铃系统论文

摘要 在现如今快节奏的生活中,人们对于时间的要求越来越苛刻,很多时候都需要对时间进行规划,然后到时间点就要有时间提醒,这就必须用到时钟提醒装置,亦可称为打铃装置。打铃装置有很多种,比如手机的打铃系统,闹钟的机械打铃装置,广播打铃系统等等,但是日常生活中见得最多的还是校园的自动打铃系统。在学校生活中,每天上下课都离不开打铃系统的使用。打铃器可以为上下课的学生和老师们提供时间提醒,有利于师生对上课和学习的合理安排,同时,也可作为一个提醒学生们作息时间的时间表,让老师和学生都能有一个规律和科学的时间安排。因此,打铃系统的核心部分也是时钟部分,为系统提供时间基准。 本设计主要是针对适用于校园打铃系统要求的,其介绍了一种基于单片机的自动打铃系统的设计方法,系统以AT89S51单片机为控制器,以DS1307时钟芯片为系统提供时间,并在液晶显示器上显示,通过按键可以设定定时打铃时间和打铃的时间间隔。系统软件设计采用C语言来完成,C语言语法简洁,使用方便,用于完成软件设计非常方便。本文提出的设计方法电路简单、成本低廉、实用性强。 关键字:打铃器、AT89S51单片机、DS1307、液晶显示器

Abstract Now fast-paced life, the time more and more demanding, often need time to plan and then to the point in time there should be reminded, which must be used to clock reminder can also be calledrang the bell device. Rang the bell device are many, such as the phone rang the bell system, mechanical bell device of the alarm clock, radio bell systems, etc., but in daily life appear or campus automatic bell system. In school life, the last class of the day are inseparable from the bell system. The bell can provide time for the last class of students and teachers to remind conducive to a reasonable arrangement of the teachers and students to school and learning, but also as a reminder of the schedule of the students schedule, so that teachers and students cana law and scientific timing. Therefore, the core part is the clock part of the bell system, the system provides a time reference. This design is mainly for the applicable requirements of the campus bell system, introduced a microcontroller-based automatic bell system design method, the system controller is AT89S51 SCM , the DS1307 clock chip provide the system with time, and the LCD displayed on the monitor button can set the time interval of the timer rang the bell time and rang the bell. System software design using C language, C language syntax is simple, easy to use, very convenient to be used to complete the software design. This paper presents the design circuit is simple, low cost, and practical. Key words: Rang the bell AT89S51 SCM the DS1307 LCD monitors

自动打铃控制器

课程设计 课程单片机原理及应用课程设计 题目自动打铃控制器 院系电子科学学院 专业班级 学生姓名 学生学号 指导教师 2011年3月 18 日 目录

1引言 (2) 2设计要求 (3) 2.1总体设计思想 (3) 3.硬件电路设计思想 (3) 3.1PCB图 (5) 4.程序流程图 (5) 5.程序清单 (6) 6元器件明细表 (26) 7.调试过程 (27) 8.参考文献 (27)

1引言 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是把一个计算机系统集成到一个芯片上。相当于一个微型的计算机,和计算机相比,单片机只缺少了I/O设备。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。同时,学习使用单片机是了解计算机原理与结构的最佳选择。 单片机内部也用和电脑功能类似的模块,比如CPU,内存,并行总线,还有和硬盘作用相同的存储器件,不同的是它的这些部件性能都相对我们的家用电脑弱很多,不过价钱也是低的,一般不超过10元即可用它来做一些控制电器一类不是很复杂的工作足矣了。我们现在用的全自动滚筒洗衣机、排烟罩、VCD等等的家电里面都可以看到它的身影!它主要是作为控制部分的核心部件。 可以说,二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。不过,这种电脑,通常是指个人计算机,简称PC机。它由主机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这种计算机就是把智能赋予各种机械的单片机(亦称微控制器)。顾名思义,这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。因为它体积小,通常都藏在被控机械的“肚子”里。它在整个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。现在,这种单片机的使用领域已十分广泛,如产品未使用单片机或其它可编程逻辑器件上智能控制、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词——“智能型”,如智能型洗衣机等。今天我利用单片机控制学校的打铃系统,下面是我的设计思路

基于单片机的校园打铃系统设计方案

基于单片机的校园打铃系统设计方案 第1章绪论 校园打铃系统就是利用现代计算机、通讯等技术,以传统的铃声系统为基础,根据用户对铃声系统功能的要求,由单片机来控制、管理、播放的系统。 通过把播放的容以数字形式存放在存储器中,然后单片机通过控制软件,按照学校设定的播放时间和容控制单片机自动将存储器中的数字音乐文件播放出来。铃声控制系统整体由两部分组成:主控中心和终端电铃。主控中心以单片机为核心,包括控制电路、显示电路、键盘电路和存储电路。终端电铃为响应控制设备,通过其自身的控制系统可以获得清晰、响亮的声响。 单片机在电子产品中的应用已经越来越广泛,并且在很多电子产品中也将其用到校园铃声和广播控制。单片机又称单片微控制器,是把一个计算机系统集成到一个芯片上。概括的讲:一块芯片就成了一台计算机。它的体积小、质量轻、价格便宜、为学习、应用和开发提供了便利条件。现在,这种单片机的使用领域已十分广泛,如智能仪表、实时工控、通讯设备、导航系统、家用电器等。各种产品一旦用上了单片机,就能起到使产品升级换代的功效,常在产品名称前冠以形容词—“智能型”,如智能型洗衣机等。 本次设计是实现一个单片机的校园打铃系统,能过设置打铃时间,同时要求能够在系统掉电时,时间能够继续,数据能够保持,能够实现打铃。

第2章方案设计与论证 2.1 系统方案选择与比较 2.1.1 控制模块方案选择 校园打铃系统设计方案有多种,下面提出两种电路方案。 方案一:主要是由石英晶体振荡电路和分频器电路组成的脉冲发生器、校时电路、报时电路以及时、分、秒计数器和译码显示电路等电路组成,其中采用计数器74LS290、译码器74LS49、分频器和八段数码管显示器等器件组成的校园打铃系统,整个系统有控制简单,调试容易等优点,但是其显示功能单一、电路复杂。其组成方框图如下2-1所示: 图2-1 方案一组成方框图 方案二:采用ATC公司的单片机ATC89C51作为控制器。单片机运算能力强,软件编程灵活,自由度大。它是MCS-51系列单片机的派生产品,在指令系统、硬件结构和片资源上与标准8051单片机完全兼容,使用时容易掌握;采用ATC89S52单片机稳定可靠、应用广泛、通用性强。

基于单片机自动打铃系统设计

目录 第一部分设计任务 (2) 1、毕业设计的主要任务 (2) 2、单片机总体设计思路 (2) 第二部分设计说明 (3) 1、单片机介绍 (3) 2、设计说明 (3) 3、软件设计 (8) 第三部分设计成果 (12) 1、开机运行图 (12) 2、自动打铃器源程序 (12) 第四部分结束语 (15) 第五部分致谢 (18) 第六部分参考文献 (19)

第一部分设计任务 1、毕业设计的主要任务 设计一个采用4位数码管显示时间秒、分、时,伴有调时校正电路,响铃控制则是通过作息时间表和定时器来实现自动打铃的单片机控制系统。 对于不同的季节,作息时间可能不同,可以制定多个作息时间表采用开关切换达到目的。 本设计采用了1个开关实现夏季和冬季作息时间的切换,完成一个自动循环。 2、单片机总体设计思路 (1)设计能正常工作的一个单片机最小硬件系统,外围电路包括设置键盘,LCD或LED的显示屏。 (2)进行软件设计,利用单片机系统时钟先设计一个高精度的内部时钟系统,最小精确时间为期1秒。 (3)在秒计数器的基础上设计一个24小时时钟,并设计若干定时功能。 (4)设计打铃执行机构,完成自动打铃功能。

第二部分设计说明 1、单片机介绍 本系统主要由主控模块,时钟模块,显示模块,键盘接口模块等4部分构成。通过内部定时产生中断,从而使驱动电铃打铃。设定51单片机工作在定时器工作方式1,每100ms产生一次中断,利用软件将基准100ms单元进行累加,当定时器产生10次中断就产生1S信号,这是秒单元加1。同理,对分单有采用动态扫描LED的显示。本系统采用四个按键,当时钟时间和设置时间一直时元和时单元计数从而产生秒、分、时的值,通过六位七段显示器进行显示。由于动态显示法需要数据所存等硬件,接口作,进行打铃,每次打铃30s较复杂,考虑显示只有六位,且系统没有其他浮躁的处理程序。 2、设计说明 2.1 AT89C51简介 一种带4K字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS8位微处理器,俗称单片机。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。由于将多功能8位CPU和闪烁存储器组合在单个芯片中,ATMEL的AT89C51是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 2.1.2引脚说明 VCC:供电电压。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH 编程和校验时,P1口作为第八位地址接收。 P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,

自动打铃系统

淮南职业技术学院 毕业设计 题目:学院自动打铃系统设计系别:煤矿机电系 专业:矿山机电二班 姓名:王灿 学号: 1003044 指导教师:刘立群

摘要 学校以及一些企事业单位通常使用电铃声作为上下课、上下班等作息时间信号。电铃已是学校以及一些企事业单位不可缺少的设备,随着社会的发展不但对其需求量越来越大,对电铃的自动控制要求也越来越高,于是人们设计了通过不同控制方式来实现的自动打铃系统。 本文介绍一种采用三菱PLC控制的作息时间自动打铃控制系统,详细地阐述了系统的组成、系统硬件接线和系统软件设计,并详细介绍了系统工作原理。该系统具有外设电路配置简单、扩展方便、操作容易,可靠性高实用性强等特点。该系统用于学校电铃的自动控制,具有周末和假期控制功能和星期与时间的显示功能,实现了作息时间无人控制的自动化、科学化管理与操作。 关键词:作息时间控制系统,PLC,I/O接线,软件设计

概述 PLC即可编程控制器(Programmable logic Controller),是指以计算机技术为基础的新型工业控制装置。 PLC是一种专门为在工业环境下应用而设计的数字运算操作的电子装置。它采用可以编制程序的存储器,用来在其内部存储执行逻辑运算、顺序运算、计时、计数和算术运算等操作的指令,并能通过数字式或模拟式的输入和输出,控制各种类型的机械或生产过程。PLC及其有关的外围设备都应该按易于与工业控制系统形成一个整体,易于扩展其功能的原则而设计。 20世纪70年代中末期,可编程控制器进入实用化发展阶段,计算机技术已全面引入可编程控制器中,使其功能发生了飞跃。更高的运算速度、 超小型体积、更可靠的工业抗干扰设计、模拟量运算、PID功能及极高的性价比奠定了它在现代工业中的地位。20世纪80年代初,可编程控制器在先进工业国家中已获得广泛应用。这个时期可编程控制器发展的特点是大规模、高速度、高性能、产品系列化。这个阶段的另一个特点是世界上生产 可编程控制器的国家日益增多,产量日益上升,这标志着可编程控制器已步入成熟阶段。 我国可编程控制器的引进、应用、研制、生产是伴随着改革开放开始的。最初是在引进设备中大量使用了可编程控制器。接下来在各种企业的生产设备及产品中不断扩大了PLC的应用。目前,我国自己已可以生产中小型可编程控制器。可以预期,随着我国现代化进程的深入,PLC在我国将有更广阔的应用天地。 所以PLC才被越来越多的人所熟悉,也被广泛的应用于自动化的生产与生活当中。而本课题就来源于学校的实际情况,包括上下课打铃程序、双休日控制程序以及控制学生宿舍开(熄)灯等自动化程序的控制,相信PLC将会更加贴近生活,服务大众。

基于单片机的打铃系统设计

天津大学网络教育学院 专科毕业论文 题目:基于单片机的打铃系统设计 完成期限:2016年1月8日至 2016年4月20日 学习中心:嘉兴 专业名称:电气自动化技术 学生姓名:陆华 学生学号:132092433142 指导教师:刘伯颖

基于单片机的打铃系统设计 引言 随着科技的不断发展,各种芯片都得到了很好的发展,80C51 同样如此,从开始的无人问津到现在的随处可见,红绿灯,记分牌,电子秒表,遥控器,电饭煲,电视等只要是电子产品,都会和芯片有关,其实芯片并不是什么神秘的高科技,它只是里面装了一些己编好的程序而己.而这里要介绍的是用汇编语言来编程的一个系统,它能够让一个学校或企业集团实现打铃自动化,总之,一个需要时间系统的机构实现自动提醒功能。 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。而本文是用AT89C51单片机设计的一个自动打铃系统。

第一章设计方案论证 1.1 设计要求 它可以作为时钟电路来显示时间,进行设置,定时打铃。按照自顶向下设计方法划分自动打铃系统的功能。可分为:时间设置电路,计时电路,显示电路和定时打铃控制电路等。 以江苏信息职业技术学院的打铃情况设计 1.2 设计方案选择 1.2.1 方案一:数字电路设计的自动打铃系统 利用函数信号发生器来进行脉冲信号输出,利用74160N来设置十进制和六进制的进位输出。利用数码显示器来显示时间,利用或门、与门、非门、与非门、等电路元件进行组合实现打铃的控制。 1.2.2 方案二:基于单片机的自动打铃系统设计 单片机内部存储器设三个字节分别存放时钟的时、分、秒信息。利用定时器与软件结合实现1秒定时中断,没产生一次中断,存储器内相应的秒值加1;若秒值达到60,则将其清零,并将相应的分字节值加1;若分值达到60,则清零分字节,并将时字节值加1;若时值达到24,则将时字节清零。建立完一个实时时钟后接下来进行定时处理和打铃输出,当主程序检测到有分进位标志时,便开始比较当前时间与信息时间表上的作息时间是否相同,相同者,则进行报时处理并控制打铃,不相同则返回主程序。 1.2.3 方案确定 方案一的设计只能事先设定打铃时间不能完全自动打铃,且在修改打铃时间

单片机设计电子打铃器

单片机课程设计 目录 摘要 (1) 引言 0 第一章设计简介及方案论述 (1) 第二章设计思路与方案 (1) 2.1单片机总体设计思路 (1) 2.2各功能模块程序实现原理分析 (2) 2.3 AT89C51单片机性能介绍 (2) 第三章电子打铃系统硬件设计 (5) 3.1系统主要硬件电路 (5) 3.3蜂鸣器驱动模块的硬件设计 (7) 第四章系统软件设计 (7) 4.1系统软件设计的主要内容 (8) 4.2主程序流程设计 (8) 第五章系统调试与测试结果分析 (10) 5.1系统调试 (10) 5.2调试现象及分析 (10) 5.3仿真结果 (10) 第六章、心得体会 (12) 参考文献: (12) 附录: (13)

单片机课程设计 摘要 本次设计中的LED数码管电子时钟电路采用24小时制记时方式,本次设计采用AT89C51单片机的扩展芯片和6个PNP三极管做驱动,由三块LED数码管构成的显示系统,与传统的基于8/16位普通单片机的LED显示系统相比较,本系统在不显著地增加系统成本的情况下,可支持更多的LED数码管稳定显示。设计采用AT98C51单片机,使用5V电源供电,并且在按键的作用下可以进行调时,调分,复位功能。计时数据的更新在计算机C语言的驱动下每秒自动进行一次,但不需程序干预其输出状态。 关键词:AT89C51;数码管; LED

单片机课程设计 引言 本设计是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。掌握单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子系统中最重要的智能化的核心部件。 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学校及企事业单位大规模地兴起。学习单片机的最有效的方法就是理论与实践并重,本文用AT89C51单片机设计的一个电子打铃系统。

基于PLC自动打铃控制系统的设计

基于PLC自动打铃控制系统的设计 在自动控制中,用PLC可以实现校园自动打铃系统的控制设计。文章论述了自动打铃控制系统的主要软件部分的设计,重点介绍了基于S7-200 PLC控制的校园电铃自动控制装置设计。 标签:PLC;控制系统;设计 引言 可编程序控制器(Programmable Controller 英文缩写为PC后又稱PLC)是以微处理器为基础,综合了计算机技术、半导体技术和通信网络技术发展起来的一种通用工业自动控制装置。它面向控制过程、面向用户、适应工业环境、操作方便、可靠性高,成为现代工业控制的三大支柱(PLC、机器人和CAD/CAM)之一。PLC控制技术代表着当前程序控制的先进水平,PLC装置已成为自动化系统的基本装置。可编程序控制器(PLC)以其可靠性高、灵活性强、使用方便的优越性,迅速占领了工业控制领域,成为先进的、发展及应用势头最强的工业控制器。 基于PLC控制的自动打铃控制系统的设计,使用方便,程序容易修改,可靠性高,作息时间改变时,控制程序只需要稍做修改就可以实现新的控制要求。 1 控制要求分析及I/O点统计 上课铃与下课铃要能分开(铃声响的频率不一样),起床,晚自习等时间的铃声为连续打铃,每次打铃的时间为15秒;要具备时间调整功能;星期六星期天不打铃,星期一至星期五按表1所示作息时间表打铃;具有时间显示功能,要有秒、分、时和星期的显示。 因电铃功率不大,可直接由PLC驱动,故选择24V直流工作的电铃,选择的具体型号为:HRE-S90D4(24V DC)。时间调整功能可用按钮来实现,其中分钟个位,分钟十位,时钟个位,时钟十位各采用一个按钮,且均选用同一种型号,此处选择施耐德电气公司的XB2B33B1C型号按钮。 系统开关选择:选用圆形选择开关,具体型号为K22-41K两段短轴,为保护PLC不因误操作而被短路烧毁,增加一熔断器作为短路保护。熔断器型号选择为3NE-3340-8,此种熔断器广泛用于30/400V及以下电压,额定工作电流为0.5-125A作为导线和设备的故障保护。 时间显示可采用七段LED数码管。LED数码管采用共阴极接线方式,即COM端为负时数码管中相应段为亮,其中工作原理如图1所示。 数码管b、c段为高电平时,LED数码管显示数字“1”,其余5段为低电平。

单片机课程设计之自动打铃系统

单片机课程设计之自动打铃系统院系:电气与信息工程系 专业: 应用电子 班级: 电子092班 指导教师: 设计时间: 2010年11月05 日

摘要 本次设计中的LED数码管电子时钟电路采用24小时制记时方式,本次设计采用AT89S52单片机的扩展芯片和6个PNP三极管做驱动,由三块LED数码管构成的显示系统,与传统的基于8/16位普通单片机的LED显示系统相比较,本系统在不显著地增加系统成本的情况下,可支持更多的LED数码管稳定显示。设计采用AT89S52单片机,使用5V电源供电,并且在按键的作用下可以进行调时,调分,复位功能。计时数据的更新在计算机C语言的驱动下每秒自动进行一次,但不需程序干预其输出状态。 关键词:AT89S52;数码管; LED 目录 引言 (1) 第一章设计简介及方案论述 (1) 1.1 作息时间控制钟系统概述 (1) 1.2 本设计任务和主要内容 (1) 第二章系统硬件电路设计 (2) 2.1单片机总体设计思路 (2) 2.2 各功能模块程序实现原理分析 (2) 2.21 七段式数码管驱动模块 (2) 2.22 蜂鸣器驱动模块 (2) 2.23 按钮控制模块 (3) 2.3系统主要硬件电路 (5) 2.31 七段式数码管驱动模块的硬件设计 (6) 2.32 蜂鸣器驱动模块的硬件设计 (7) 第三章系统软件设计 (8)

3.1 系统软件设计的主要内容..........................................................................................83.2 系统软件设计的流程图 (8) 第四章系统调试与测试结果分析 (10) 4.1 系统调试 (10) 4.11 软件调试 (10) 4.12 硬件调试 (10) 第五章附录及参考文献 (12) 5.1 汇编程序清单 (12) 5.2 器材仪表 (34) 5.3参考资料 (34) 第六章设计总结 引言 本设计是根据我们所学习的单片机课程,按照大纲要求对我们进行的一次课程检验,是进行单片机课程训练的必要任务,也对我们掌握单片机应用有很大的帮助。掌握 单片机技术是一门不可或缺的技术,对我们将来的工作以及生活和学习都有很密切的联系。近年来,随着电子技术和微机计算机的迅速发展,单片机的档次不断提高,其应用 领域也在不断的扩大,已在工业控制、尖端科学、智能仪器仪表、日用家电、汽车电子 系统、办公自动化设备、个人信息终端及通信产品中得到了广泛的应用,成为现代电子 系统中最重要的智能化的核心部件。 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域, 传统的分立元件或数字逻辑电路构成的控制系统,正以前所未有的速度被单片机智能控 制系统所取代。单片机具有体积小、功能强、成本低、应用面广等优点,可以说,智能 控制与自动控制的核心就是单片机。目前,一个学习与应用单片机的高潮正在工厂、学

相关主题
文本预览
相关文档 最新文档