当前位置:文档之家› 全数字锁相环毕业设计之开题报告

全数字锁相环毕业设计之开题报告

全数字锁相环毕业设计之开题报告
全数字锁相环毕业设计之开题报告

青岛大学

毕业论文(设计)开题报告

题目:全数字锁相环的设计与实现

院系:自动化工程学院电子工程系

专业:电子信息工程

班级:2007级2班

姓名:张景楠

指导教师:董介春

2011年3月14日

1 数字锁相环的研究现状及发展趋势

锁相环路(PLL)是一个输出信号能够跟踪输入信号相位的闭环自动控制系统。它在无线电技术的各个领域得到了很广泛的应用。

早在30年代无线电技术发展的初期,锁相环技术就已出现,1930年已建立了同步控制理论的基础,1932年贝尔赛什(Bellescize)提出了同步检波理论,第一次公开发表了锁相环路的数学描述,用锁相环路提取相干载波来完成同步检波。早期的锁相环路采用电子管,且价格昂贵,只能用在实验装置中,未得到广泛应用。

到了40年代,在电视接收机的同步扫描电路中,开始广泛的应用锁相技术,使电视图像的同步性能得到很大改善。

进入50年代,随着空间技术的发展,由杰费(Jaffe)和里希廷(Rechtin)利用锁相环路作为导弹信标的跟踪滤波器获得成功,并首次发表了包含噪声效应的锁相环路理论分析的文章,同时解决了锁相环路最佳化设计问题。一种最简单的遥测方式就是信标跟踪,在卫星上装一台低功率的连续波发射机,地面上就可以接收到信号的频率,由于卫星的径向运动而产生多普勒频移,测出多普勒频移大小,就可以算出卫星的径向运动速度,从而测定它的运行轨道。但是,由于卫星上发射机功率小(毫瓦级),而接收机相距几千乃至几万公里以上,因而接收到的信号异常微弱,加之存在多普勒频移及振荡器的频率漂移,接收机的带宽必须足够的宽才行。噪声强度与带宽成正比的,这样在接收点的信号噪声功率比必然很低,通常在-10~-30dB的数量级,即所需信号被深深地埋在噪声之中,在此情况下,普通接收机是无能为力的,而只有采用具有锁相环路的窄带锁相跟踪接收机才能把埋在噪声中的信号提取出来。所以空间技术的发展,促进人们对锁相环路理论及其应用的进一步探讨。

在60年代,维特毕(Viterbl)研究了无噪声锁相环路的非线性理论问题,并发表了“相干通信原理”一书。到70年代林特赛(Lindscy)和查利斯(Charles)进行了由噪声的一阶、二阶及高阶锁相环路的非线性理论分析,并做了大量实验以充实理论分析。随着对锁相技术的理论和应用进行广泛深入地研究,目前,锁相技术已经成为一门比较系统的理论科学。

由于锁相环路具有许多优良特性,它可用于频率合成与变换、自动频率调谐跟踪、模拟和数字信号的相干解调、AM波信号的同步检波、数字通信中的位同步提取、锁相稳频、锁相倍频和分频、锁相测速与测距、锁相FM(PM)调制与解调、微波锁相频率源以及微波锁相功率放大器等。所以,锁相技术的应用已遍及无线电领域,从空间探测、卫星与导弹的跟踪测距、雷达、导航、通信、计算机、激光到电子仪器。近几年来,冶金、水文地质、电力、机械加工、生产自动化等方面都有广泛应用。甚至今天锁相环路已出现在每个家庭的电视机接收机和立体声收录机中。随着半导体集成电路技术的迅速发展,从60年代后期起,已相继试制成功集成化的锁相环路部件及单片集成锁相环路。今天集成锁相环路的商品种类日益繁多,这将使锁相技术得到更广泛的应用。

我国早在50年代就有许多科学工作者开展了对锁相技术的研究和应用工作。特别是

中远程导弹的定点发射、卫星的发射和回收、同步卫星的发射和定点等技术的发展,都离不开锁相技术,我国在1970年4月24日成功地发射了第一颗人造卫星,地面站对人造卫星发回信号的接收以及遥测控制都证明锁相技术的研究和应用达到了较先进的水平。我国第一颗人造卫星把“东方红”乐曲传遍了全球,这极大地鼓舞了我国科学工作者攀登世界高峰的斗志。1984年4月8日,我国成功地发射了试验通信卫星,进入同步轨道正常运行。1986年2月1日又成功地发射了一颗实用通信广播卫星,准确定点于东经103度赤道上空。经定点试播,图像和声音质量都达到或超过国际5号卫星的水平。近年来,除继续发射本国各类卫星外,我国还承接外国卫星的发送业务,亚洲卫星通信有限公司的“亚洲卫星一号”是1990年4月在中国发射的。这些都充分说明,我国科学工作者和工程技术人员,对锁相技术的研究和应用达到了较先进的水平。

近年来,锁相环路组件逐步由分立元件向中、大规模集成电路发展。目前北京、上海、甘肃等许多地方的单位都能成批生产中、大规模集成锁相环路和部件,如鉴相器、环路滤波器、压控振荡器、二——十进制可预置可逆计数器以及集成锁相环等,对锁相技术的理论和应用的研究也日益深入、广泛,相应的论文、书籍的发展和出版也逐渐多起来,以适应科学技术的飞速发展和我国现代化建设的需要。

目前,锁相环路的理论研究正日益完善,应用范围遍及整个电子技术领域。现在锁相环路正向着集成化、数字化、多用途、系列化、高性能方向发展,且商品化集成锁相环路日益增多,为锁相技术应用提供了广阔的前景。

对于锁相环路主要应用于信号的同步,这里有一个小故事:用斧子砍狐狸任何时候都是一件困难的事情,特别是在猎手与狐狸之间有一个180度的相差时。猎手试图砍到狐狸,但是它不能够“同步”。很明显,虽然猎手与狐狸以相同的频率行动,但猎手不能达到相位捕捉。于是,故事悲剧性的发生了:斧子没击中狐狸,而击中拴着它的绳子,狐狸带着偷到的小鸡逃跑了。简单说锁相环路就是用于正确捕捉信号避免信号丢失的一项技术。

在现代通信系统中,信号同步成为通信中的关键技术。同步技术使得由发射端发出的信号经传输媒介正确的由接收端接收得到了保证。同步的技术基础是锁相,因而锁相技术是通信中最重要的技术之一。锁相就是利用输入信号与输出信号之间的相位误差来自动调节输出信号的相位,使之达到与输入信号的相位一致,或保持一个很小的相位差,从而实现自动调节的功能。锁相技术现已广泛应用于电子技术的各个领域,特别是在数字通信的调制解调、位同步、频率合成中常常要用到各种各样的锁相环。

最初的锁相环全部由模拟电路组成,由于模拟锁相环存在温度漂移、电网电压的影响等缺点,给系统的同步调节带来了困难。随着大规模、超大规模数字集成技术的发展,模拟锁相环逐渐被数字锁相环所取代。由于模拟锁相环存在不少问题,为了改善数字通信系统的同步性能,保证系统工作稳定、可靠,因此发展数字锁相环路也是集成锁相环路发展的重要方面。锁相环路的数字集成,不仅可靠性提高、降低成本,而且扩大了锁相环路的应用范围。随着通信及其它电子系统的飞速发展,对系统的准确性、可靠性、小型性等要求越来越高。大规模集成电路及数字技术的迅速发展,为实现上述要求提供了条件。

VHDL语言(VHSIC Hardware Description Language,VHSIC即为Very High Speed

Integrated Circuit 的缩写词)是一种行为描述语言,其编程结构类似于计算机中的C 语言,在描述复杂逻辑设计时,非常简洁,具有很强的逻辑描述和仿真能力,是未来硬件设计语言的主流。

运用VHDL 语言设计系统一般采用自上而下分层设计的方法,首先从系统级功能设计开始,对系统高层模块进行行为描述和功能验证。这样,在电路细节设计之前,先对系统的功能和结构进行验证,可对存在的问题早发现早修改,提高设计效率。完成系统的功能验证后,就可以将抽象的高层设计,自顶而下逐级细化,直到所用PLD (可编程逻辑器件)结构相对应的逻辑描述。在细化的过程中,对电路结构清楚的模块可采用结构描述;对采用什么电路结构不确定的模块可采用行为描述。现在的EDA (电子设计自动化)工具一般都具有逻辑综合的功能,可将行为描述自动转换为与PLD 器件结构相对应的逻辑描述,这给设计者带来了极大地方便,并大大缩短了产品的开发周期。支持行为描述与结构描述的混合使用,是VHDL 的一个重要特征,具有很大的优越性。

因为VHDL 语言的功能强大,优点突出,因此VHDL 语言自从被定为IEEE 标准后,在各EDA 系统中迅速出现,成为十分流行的硬件描述工具。

2 主要设计内容

本设计从模拟锁相环研究出发,掌握锁相环的基本工作原理,了解环路失锁、捕获、跟踪过程及环路锁定条件等。在分析模拟锁相环缺点的基础上,掌握数字锁相环的工作原理,并用VHDL 语言对该系统进行设计,给出数字锁相环电路各个主要模块的设计过程及仿真结果,得到该系统的顶层电路。

3 拟采取的设计方案

数字锁相环电路的系统结构图如图1所示。由数字鉴相器、数字滤波器和数控振荡器组成。如果把数字滤波器看成一个分频器,其分频比为K

Mf c ,其输出频率为: K

Mf K f c ?Φ='' (式1)

图1 数字锁相环系统框图

其中,?Φ为输入信号1V 与输出信号2V 的相位差;c f 为环路的中心频率。则数控振荡器的输出频率为:

KN

Mf K f f c ?Φ+='12 (式2) 由于锁定的极限范围为1'±=?ΦK ,所以得到环路的捕捉带:

KN

Mf f f f c =-=?1max 2max (式3) 当环路锁定时,12f f =,系统稳态相位误差:

c

Mf K f f NK ')()(12-=∞?Φ (式4) 可见,只要合理选择K 值,就能使输出信号2V 的相位较好地跟踪输入1V 的相位,以达到锁定的目的。如果K 值选的太大,环路捕捉带就会变小,导致捕捉时间增大;如果K 值太小,可能会出现频繁进位、借位脉冲,从而使相位出现抖动。

4 主要研究手段、方法

数字锁相环的研究手段,大体可以分为软件仿真和硬件实现两种。软件仿真即是在通用的微型计算机上用软件实现,如利用Quartus II 进行工程建立、程序编写、原理图输入、波形仿真等步骤最终实现。而硬件实现则是在软件仿真成功的基础上,将正确的VHDL 程序经过转换下载到FPGA 等可编程逻辑器件中,然后对其应实现的功能进行测试。 5 预期获得的结果

最终期望结果是输入信号经过此系统后的输出信号与输入信号频率完全相同,相位差保持恒定。最终实现的功能是在通信系统中实现同步,即通信系统中发射端发出的信号经过传输媒介在接收端得到正确的接收。

6 课题进度计划

调研、设计方案论证、题目发展动态综述,写出开题报告 2周 模拟锁相环的工作原理 1周 环路失锁、捕获、跟踪过程及环路锁定条件的研究 1周 数字锁相环的工作原理 1周 FPGA 芯片结构、设计流程 1周

硬件描述语言的编程规则及调试下载方法1周鉴相器的工作原理以及用VHDL语言进行设计仿真1周数字滤波器的工作原理以及用VHDL语言进行设计仿真1周脉冲加/减电路的工作原理以及用VHDL语言进行设计仿真1周分频器的工作原理以及用VHDL语言进行设计仿真1周全数字锁相环总体方案设计、仿真、下载及调试2周撰写毕业设计报告及答辩2周

7 参考文献

1.樊昌信,通信原理(第四版),国防工业出版社,2001

2.潘松、黄继业,EDA技术实用教程(第3版),科学出版社,2006

3.潘松、王国栋,VHDL实用教程(修订版),成都电子科技大学出版社,2001

4.刘欲晓、方强、黄宛宁,EDA技术与VHDL电路开发应用实践,电子工业出版社,2009

5.徐志军、徐光辉,CPLD/FPGA的开发与应用,电子工业出版社,2002

6.张厥盛,锁相技术,西安电子科技大学出版社,1991

锁相环设计

锁相环测量简述 一、锁相环路的基本工作原理 锁相环路是一个相位反馈自动控制系统。它由以下三个基本部件组成:鉴相器(PD)、环路滤波器(LPF)和压控振荡器(VCO)。其组成方框图如下所示。 锁相环路的基本方框图 锁相环可用来实现输出和输入两个信号之间的相位同步。当没有基准(参考)输入信号时,环路滤波器的输出为零(或为某一固定值)。这时,压控振荡器按其固有频率fv进行自由振荡。当有频率为fR的参考信号输入时,uR 和uv同时加到鉴相器进行鉴相。 如果fR和fv相差不大,鉴相器对uR和uv进行鉴相的结果,输出一个与uR和uv的相位差成正比的误差电压ud,再经过环路滤波器滤去ud中的高频成分,输出一个控制电压uc,uc将使压控振荡器的频率fv(和相位)发生变化,朝着参考输入信号的频率靠拢,最后使fv= fR,环路锁定。 环路一旦进入锁定状态后,压控振荡器的输出信号与环路的输入信号(参考信号)之间只有一个固定的稳态相位差,而没有频差存在。环路的锁定状态是对输入信号的频率和相位不变而言的,若环路输入的是频率和相位不断变化的信号,而且环路能使压控振荡器的频率和相位不断地跟踪输入信号的频率和相位变化,则这时环路所处的状态称为跟踪状态。锁相环路在锁定后,不仅能使输出信号频率与输入信号频率严格同步,而且还具有频率跟踪特性,所以它在电子技术的各个领域中都有着广泛的应用。 二、环路部件的测量 I.鉴相器特性的测量 鉴相器的主要性能可用鉴相特性曲线和鉴相灵敏度来表示。 鉴相特性曲线是表示鉴相器的输出电压Vd与两个输入比相信号之间相位差θe的关系曲线,其测量方法如右图所示,在测量精度要求不高的情况下,可用双踪示波器来代替相位计。

全数字锁相环毕业设计终稿

安徽大学 本科毕业论文(设计、创作) 题目:全数字锁相环的研究与设计 学生姓名:郑义强学号:P3******* 院(系):电子信息工程学院专业:微电子 入学时间:2011年9月 导师姓名:吴秀龙职称/学位:教授/博士 导师所在单位:安徽大学电子信息工程学院 完成时间:2015 年5月

全数字锁相环的研究与设计 摘要 锁相环路的设计和应用是当今反馈控制技术领域关注的热点,它的结构五花八门,但捕获时间短,抗干扰能力强一直是衡量锁相环性能好坏的一个标准。本文是在阅读了大量国内外关于全数字锁相环的技术文献的基础上,总结了锁相环的发展现状与技术水平,深入分析了全数字锁相环的基本结构与基本原理,利用VHDL语言,采用自上而下的设计方法,设计了一款全数字锁相环.本文主要描述了一种设计一阶全数字锁相环的方法,首先分析了课题研究的意义、锁相环的发展历程研究现状,然后描述了全数字锁相环的各个组成部件,并且详细分析了锁相环鉴相器、变模可逆计数器、加减脉冲电路、除H计数器和除N计数器各个模块的工作原理。接着我们使用了VHDL语句来完成了鉴相器、数字滤波器和数字振荡器的设计,并且分别使用仿真工具MAX+plus II逐个验证各个模块的功能。最后,将各个模块整合起来,建立了一个一阶全数字锁相环的电路,利用仿真工具MAX+plus II 验证了它的功能的能否实现,仿真结果与理论分析基本符合。 关键词:全数字锁相环;数字滤波器;数字振荡器;锁定时间

Design and research of ALL Digital Phase-Locked Loop Abstract The design and application of phase-locked loop is the focus of attention in the field of feedback control technology today, phase- locked loop has played a very important and unique role in variety of applications. such as the radar, measurement,communications, etc. All-digital phase-locked loop has its unique advantages. Its structure is varied, but short capture time, small synchronization error, excellent anti-interference ability is the standard measure of performance of a phase-locked loop. On the basis of reading a lot of DPLL technology literature of domestic and abroad, this article summed up the present situation and the development level of phase-locked loop technology, analysis the basic structure and principle of all-digital phase-locked loop in-depth, designed a quick all-digital phase-locked loop by using VHDL language and top-down design approach. In this brief, we presented a way of designing a first-order ALL Digital Phase-Locked Loop (ADPLL) first analyzes the significance of research, the development course of phase-locked loop current research status, and then describes the component parts of all digital phase-locked loop, and detailed analysis of the phase lock loop phase discriminator, reversible counter change mould, add and subtract pulse circuit, in addition to H counter and divide N working principle of each module. Then we use the VHDL statements to complete the phase discriminator, digital filter and the design of the digital oscillator, and using the simulation tool of MAX + plus II one by one to verify the function of each module. Finally, the various modules together, established a first-order digital phase-locked loop circuit, using the simulation tool of MAX + plus II verify the realization of its function, the simulation results and principle Keywords: All Digital Phase-Locked Loop; Digital filter; Digital oscillator, Locking time

毕业设计论文:PLL锁相环电路

摘要 随着通信及电子系统的飞速发展,促使集成锁相环和数字锁相环突飞猛进。本次毕业设计的主要任务是,采用0.18μm CMOS 工艺,设计实现一个基于改进的鉴频鉴相器,压控振荡器,环路滤波器的全集成的CMOS PLL 锁相环电路,设计重点为PLL 锁相环电路的版图设计,设计工具为Laker。 本论文介绍了PLL 锁相环电路的基本原理以及其完整的版图设计结果。本次设计表明,采用该方案实现的锁相环电路主要功能工作正常,初步达到设计要求。 关键词:PLL 锁相环电路,鉴频鉴相器,压控振荡器,环路滤波器,版图设计, 0.18μm CMOS 工艺 Abstract

With the development of the communications and electronic systems, the technology of the integrated PLL and digital PLL develops rapidly. The main task of graduation is to design and realize a fully integrated CMOS PLL circuit which is based on an improved phase detector, VCO, loop filter using the 0.18 μ m CMOS technolog. yThe design focus on the layout of the PLL circuit, and the design tools is the Laker. This paper introduces the basic principles of PLL phase locked loop circuit and its comprehensive layout results. This design shows that the program implemented by the main function of PLL circuit is working well, and it meets the design requirements. Key words: PLL phase locked loop circuits, popularly used phase detectors, discrimination, VCO loop filter, layout design, 0.18

PLL(锁相环)电路原理及设计 [收藏]

PLL(锁相环)电路原理及设计[收藏] PLL(锁相环)电路原理及设计 在通信机等所使用的振荡电路,其所要求的频率范围要广,且频率的稳定度要高。无论多好的LC振荡电路,其频率的稳定度,都无法与晶体振荡电路比较。但是,晶体振荡器除了可以使用数字电路分频以外,其频率几乎无法改变。如果采用PLL(锁相环)(相位锁栓回路,PhaseLockedLoop)技术,除了可以得到较广的振荡频率范围以外,其频率的稳定度也很高。此一技术常使用于收音机,电视机的调谐电路上,以及CD唱盘上的电路。 一PLL(锁相环)电路的基本构成 PLL(锁相环)电路的概要 图1所示的为PLL(锁相环)电路的基本方块图。此所使用的基准信号为稳定度很高的晶体振荡电路信号。 此一电路的中心为相位此较器。相位比较器可以将基准信号与VCO (Voltage Controlled Oscillator……电压控制振荡器)的相位比较。如果此两个信号之间有相位差存在时,便会产生相位误差信号输出。 (将VCO的振荡频率与基准频率比较,利用反馈电路的控制,使两者的频率为一致。) 利用此一误差信号,可以控制VCO的振荡频率,使VCO的相位与基准信号的相位(也即是频率)成为一致。 PLL(锁相环)可以使高频率振荡器的频率与基准频率的整数倍的频率相一致。由于,基准振荡器大多为使用晶体振荡器,因此,高频率振荡器的频率稳定度可以与晶体振荡器相比美。 只要是基准频率的整数倍,便可以得到各种频率的输出。 从图1的PLL(锁相环)基本构成中,可以知道其是由VCO,相位比较器,基准频率振荡器,回路滤波器所构成。在此,假设基准振荡器的频率为fr,VCO的频率为fo。 在此一电路中,假设frgt;fo时,也即是VC0的振荡频率fo比fr低时。此时的相位比较器的输出PD 会如图2所示,产生正脉波信号,使VCO的振荡器频率提高。相反地,如果frlt;fo时,会产生负脉波信号。

全数字锁相环的设计

全数字锁相环的设计 锁相环()技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环()与传统的模拟电路实现的相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需及转换。随着通讯技术、集成电路技术的飞速发展和系统芯片()的深入研究,必然会在其中得到更为广泛的应用。 这里介绍一种采用硬件描述语言设计的方案。 结构及工作原理 一阶的基本结构如图所示。主要由鉴相器、变模可逆计数器、脉冲加减电路和除计数器四部分构成。变模计数器和脉冲加减电路的时钟分别为和。这里是环路中心频率,一般情况下和都是的整数幂。本设计中两个时钟使用相同的系统时钟信号。 图数字锁相环基本结构图 鉴相器 常用的鉴相器有两种类型:异或门()鉴相器和边沿控制鉴相器(),本设计中采用异或门()鉴相器。异或门鉴相器比较输入信号相位和输出信号相位之间的相位差ФФФ,并输出误差信号作为变模可逆计数器的计数方向信号。环路锁定时,为一占空比的方波,此时的绝对相为差为°。因此异或门鉴相器相位差极限为±°。异或门鉴相器工作波形如图所示。

图异或门鉴相器在环路锁定及极限相位差下的波形 变模可逆计数器 变模可逆计数器消除了鉴相器输出的相位差信号中的高频成分,保证环路的性能稳定。变模可逆计数器根据相差信号来进行加减运算。当为低电平时,计数器进行加运算,如果相加的结果达到预设的模值,则输出一个进位脉冲信号给脉冲加减电路;当为高电平时,计数器进行减运算,如果结果为零,则输出一个借位脉冲信号给脉冲加减电路。 脉冲加减电路 脉冲加减电路实现了对输入信号频率和相位的跟踪和调整,最终使输出信号锁定在输入信号的频率和信号上,工作波形如图所示。 图脉冲加减电路工作波形 除计数器

锁相环基本原理及其应用

锁相环及其应用 所谓锁相环路,实际是指自动相位控制电路(APC),它是利用两个电信号的相位 误差,通过环路自身调整作用,实现频率准确跟踪的系统,称该系统为锁相环路,简称环路,通常 用PLL表示。 称VCO )三个部件组成闭合系统。这是一个基本环路,其各种形式均由它变化而来 PLL概念 设环路输入信号V i= V im Sin( 3 i t+ 0 i) 环路输出信号V o= V om Sin( 3 o t+ 0 o) 其中 3 o = 3 r +△ 3 o 率的自动控制系统称为锁相环路 PLL构成 由鉴相器(PD环路滤波器(LPF)压控振荡器(VCO组成的环路 通过相位反馈控制, 最终使相位保持同步, 实现了受控频率准确跟踪基准信号频锁相环路是由鉴相器(简称PD)、环路滤波器(简称LPF或LF )和压控振荡器(简

ejt 戶心(tAejt)谋差相檯 PLL 原理 从捕捉过程一锁定 A.捕捉过程(是失锁的) 0 i — 0 i 均是随时间变化的,经相位比较产生误差相位 0 e = 0 i - 0 o ,也是变化的。 b. 0 e (t)由鉴相器产生误差电压 V d (t)= f ( 0 e )完成相位误差一电压的变换作用。 V d (t)为交流电压。 C. V d (t)经环路滤波,滤除高频分量和干扰噪声得到纯净控制电压,由 VCO 产生 控制角频差△ 3 0,使3 0随3i 变化。 B.锁定(即相位稳定) 即 3 0= 3 r + △ 3 Omax 。 3 r 为VCO 固有振荡角频率。) 锁相基本组成和基本方程(时域) 各基本组成部件 鉴相器(PD) a. 一旦锁定0 e (t)= 0 e -(很小常数) V d (t)= V d (直流电压) b. 3 0= 3 i 输出频率恒等于输入频率(无角频差,同时控制角频差为最大△ 3 Omax

全数字锁相环的设计

全数字锁相环的设计 锁相环(PLL)技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环(DPLL)与传统的模拟电路实现的PLL相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需A/D及D/A转换。随着通讯技术、集成电路技术的飞速发展和系统芯片(SoC)的深入研究,DPLL必然会在其中得到更为广泛的应用。 这里介绍一种采用VERILOG硬件描述语言设计DPLL的方案。 DPLL结构及工作原理 一阶DPLL的基本结构如图1所示。主要由鉴相器、K变模可逆计数器、脉冲加减电路和除N计数器四部分构成。K变模计数器和脉冲加减电路的时钟分别为Mfc和2Nfc。这里fc是环路中心频率,一般情况下M和N都是2的整数幂。本设计中两个时钟使用相同的系统时钟信号。 图1 数字锁相环基本结构图 鉴相器 常用的鉴相器有两种类型:异或门(XOR)鉴相器和边沿控制鉴相器(ECPD),本设计中采用异或门(XOR)鉴相器。异或门鉴相器比较输入信号Fin相位和输出信号Fout相位之间的相位差Фe=Фin-Фout,并输出误差信号Se作为K变模可逆计数器的计数方向信号。环路锁定时,Se为一占空比50%的方波,此时的绝对相为差为90°。因此异或门鉴相器相位差极限为±90°。异或门鉴相器工作波形如图2所示。

图2 异或门鉴相器在环路锁定及极限相位差下的波形 K变模可逆计数器 K变模可逆计数器消除了鉴相器输出的相位差信号Se中的高频成分,保证环路的性能稳定。K变模可逆计数器根据相差信号Se来进行加减运算。当Se 为低电平时,计数器进行加运算,如果相加的结果达到预设的模值,则输出一个进位脉冲信号CARRY给脉冲加减电路;当Se为高电平时,计数器进行减运算,如果结果为零,则输出一个借位脉冲信号BORROW给脉冲加减电路。 脉冲加减电路 脉冲加减电路实现了对输入信号频率和相位的跟踪和调整,最终使输出信号锁定在输入信号的频率和信号上,工作波形如图3所示。 图3 脉冲加减电路工作波形 除N计数器

锁相环的基本原理和模型

1.锁相环的基本原理和模型 在并网逆变器系统中,控制器的信号需要与电网电压的信号同步,锁相环通过检测电网电压相位与输出信号相位之差,并形成反馈控制系统来消除误差,达到跟踪电网电压相位和频率的目的。一个基本的锁相环结构如图1-1所示,主要包括鉴相器,环路滤波器,压控振荡器三个部分。 图1-1 基本锁相环结构 鉴相器的主要功能是实现锁相环输出与输入的相位差检测;环路滤波器的主要作用应该是建立输入与输出的动态响应特性,滤波作用是其次;压控振荡器所产生的所需要频率和相位信息。 PLL 的每个部分都是非线性的,但是这样不便于分析设计。因此可以用近似的线性特性来表示PLL 的控制模型。 鉴相器传递函数为:)(Xo Xi Kd Vd -= 压控振荡器可以等效为一个积分环节,因此其传递函数为:S Ko 由于可以采用各种类型不同的滤波器(下文将会讲述),这里仅用)(s F 来表示滤波器的传递函数。 综合以上各个传递函数,我们可以得到,PLL 的开环传递函数,闭环传递函数和误差传递函数分别如下: S s F K K s G d o op )()(=,)()()(s F K K S s F K K s G d o d o cl +=,) ()(s F K K S S s H d o += 上述基本的传递函数就是PLL 设计和分析的基础。 2.鉴相器的实现方法 鉴相器的目的是要尽可能的得到准确的相位误差信息。可以使用线电压的过零检测实现,但是由于在电压畸变的情况下,相位信息可能受到严重影响,因此需要进行额外的信号处理,同时要检测出相位信息,至少需要一个周波的时间,动态响应性能可能受到影响。 一般也可以使用乘法鉴相器。通过将压控振荡器的输出与输入相乘,并经过一定的处理得到相位误差信息。 在实际的并网逆变器应用中还可以在在同步旋转坐标系下进行设计,其基本的目的也是要得的相差的数值。同步旋转坐标系下的控制框图和上图类似,在实际使用中,由于pq 理论在电网电压不平衡或者发生畸变使得性能较差,因而较多的使用dq 变换,将采样得到的三相交流电压信号进行变化后与给定的直流参考电压进行比较。上述两种方法都使用了近似,利用在小角度时正弦函数值约等于其角度,因而会带来误差,这个误差是人为近似导致的误差,与我们要得到的相位误差不是一个概念,最终的我们得到相位误差是要形成压控振荡器的输入信号,在次激励下获得我们所需要的频率和相位信息。 2.1乘法鉴相器

基于数字锁相环的同步倍频器设计

一、主要内容与要求 1.掌握应用电子设计自动化(EDA)技术设计电子系统的方法; 2.采用超高速集成电路硬件描述语言(Verilog)设计一种基于数字锁相环的倍频器; 3.重点设计数字环路滤波器和数控振荡器; 4.利用计算机仿真技术进行验证; 5.阅读并翻译3000单词以上的英文资料。 二、主要技术要求 n倍频;2 1.系统能够实现输出信号为输入信号的2.改变系统参数可以得到不同的倍频信号,且始终能够使输出信号与输入信号保持同步; 3.用Verilog语言编写设计程序,利用计算机仿真予以验证。 三、研究方法 1.在查阅大量技术资料的基础上,进行设计方案的比较; 2.确定全数字锁相环系统的设计方案; 3.采用自顶向下的设计方法,进行系统模块的划分,并确定用Verilog设计各功能模块的算法; 4.编写系统设计程序,并进行仿真验证,经过反复修改使电路系统达到设计要求。 四、工作进度安排 1.2012年12月学习掌握Verilog设计技术,收集和整理与毕业设计有关的资料; 2.2013年1月在分析和整理资料的基础上写开题报告,确定设计方案和研究技术路线; 3.2013年3月完成环路滤波器和数控振荡器的设计与仿真; 4.2013年4月完成全数字倍频器的系统设计与仿真; 5.2013年5月撰写毕业设计说明书和准备毕业答辩稿; 6.2013年6月初毕业答辩。 指导教师 南华大学本科生毕业设计(论文)开题报告

设计(论文)题目基于数字锁相环的同步倍频器设计 省部级课题设计(论文)题目来源起止时工程设计2012.12013.6 设计(论文)题目类 一、设计(论文)依据及研究意义 锁相(phase-locked loop是一种反馈控制电路,作用是实现设备外部输入信号与内部的震荡信号同步其基本组成包括鉴相 phasedetector环路滤波器loopfilter)和压控振荡器 voltagecontroloscillato) 倍频器frequencymultiplie)是使输出信号频率等于输入信号频率整倍的电路利用非线性电路产生高次谐波或者利用频率控制回路都可以构成倍器倍频器也可由一个压控振荡器和控制环路构成它的控制电路产生一控制压,使压控振荡器的振荡频率严格地锁定在输入频f的倍乘fnf 因为非线性变换过程中产生的大量谐波使输出信号相位不稳定所以其构的倍频器,倍频噪声较大。倍频次数越高,倍频噪声就越大,使倍频器的应用到限制在要求倍频噪声较小的设备中可采用根据锁相环原理构成的锁相环步倍频器 模拟锁相环主要由相位参考提取电路压控振荡器相位比较器控制电等组成压控振荡器输出的是与需要频率很接近的等幅信号把它和由相位参提取电路从信号中提取的参考信号同时送入相位比较器用比较形成的误差通控制电路使压控振荡器的频率向减小误差绝对值的方向连续变化实现锁相而达到同步 数字锁相环主要由相位参考提取电路、晶体振荡器、分频器、相位比较器脉冲补抹门等组成分频器输出的信号频率与所需频率十分接近把它和从信中提取的相位参考信号同时送入相位比较器比较结果示出本地频率高了时就过补抹门抹掉一个输入分频器的脉冲相当于本地振荡频率降低相反若示本地频率低了时就在分频器输入端的两个输入脉冲间插入一个脉冲相当于本振荡频率上升,从而达到同步。.

基于FPGA的数字锁相环的设计

目录 第一章绪论..................................... 错误!未定义书签。 1.1锁相环技术的发展及研究现状................................................ 错误!未定义书签。 1.2课题研究意义 ........................................................................... 错误!未定义书签。 1.3本课题的设计内容.................................................................... 错误!未定义书签。第二章 FPGA的设计基础............................ 错误!未定义书签。 2.1硬件设计语言-Verilog HDL.................................................. 错误!未定义书签。 2.2 FPGA的设计流程 ...................................................................... 错误!未定义书签。第三章锁相环的原理. (2) 3.1全数字锁相环基本结构 (3) 3.2全数字锁相环的工作原理 (4) 第四章数字锁相环的设计 (5) 4.1基于FPGA的数字锁相环总体设计方案 (5) 4.2数字鉴相器的设计 (6) 4.3 K变模可逆计数器的设计 (7) 4.4脉冲加减器的设计 (10) 4.5 N分频器的设计 (12) 第五章实验仿真与调试 (14) 5.1数字锁相环的仿真 (14) 5.2数字锁相环的系统实验 (15) 结束语 (19) 参考文献 (20) 附录 (21)

全数字锁相环毕业设计终稿

大学 本科毕业论文(设计、创作) 题目:全数字锁相环的研究与设计 学生:义强学号:P3******* 院(系):电子信息工程学院专业:微电子 入学时间:2011 年9 月 导师:吴秀龙职称/学位:教授/博士 导师所在单位:大学电子信息工程学院 完成时间:2015 年 5 月

全数字锁相环的研究与设计 摘要 锁相环路的设计和应用是当今反馈控制技术领域关注的热点,它的结构五花八门,但捕获时间短,抗干扰能力强一直是衡量锁相环性能好坏的一个标准。本文是在阅读了大量国外关于全数字锁相环的技术文献的基础上,总结了锁相环的发展现状与技术水平,深入分析了全数字锁相环的基本结构与基本原理,利用VHDL语言,采用自上而下的设计方法,设计了一款全数字锁相环.本文主要描述了一种设计一阶全数字锁相环的方法,首先分析了课题研究的意义、锁相环的发展历程研究现状,然后描述了全数字锁相环的各个组成部件,并且详细分析了锁相环鉴相器、变模可逆计数器、加减脉冲电路、除H计数器和除N计数器各个模块的工作原理。接着我们使用了VHDL语句来完成了鉴相器、数字滤波器和数字振荡器的设计,并且分别使用仿真工具MAX+plus II逐个验证各个模块的功能。最后,将各个模块整合起来,建立了一个一阶全数字锁相环的电路,利用仿真工具MAX+plus II 验证了它的功能的能否实现,仿真结果与理论分析基本符合。 关键词:全数字锁相环;数字滤波器;数字振荡器;锁定时间

Design and research of ALL Digital Phase-Locked Loop Abstract The design and application of phase-locked loop is the focus of attention in the field of feedback control technology today, phase- locked loop has played a very important and unique role in variety of applications. such as the radar, measurement,communications, etc. All-digital phase-locked loop has its unique advantages. Its structure is varied, but short capture time, small synchronization error, excellent anti-interference ability is the standard measure of performance of a phase-locked loop. On the basis of reading a lot of DPLL technology literature of domestic and abroad, this article summed up the present situation and the development level of phase-locked loop technology, analysis the basic structure and principle of all-digital phase-locked loop in-depth, designed a quick all-digital phase-locked loop by using VHDL language and top-down design approach. In this brief, we presented a way of designing a first-order ALL Digital Phase-Locked Loop (ADPLL) first analyzes the significance of research, the development course of phase-locked loop current research status, and then describes the component parts of all digital phase-locked loop, and detailed analysis of the phase lock loop phase discriminator, reversible counter change mould, add and subtract pulse circuit, in addition to H counter and divide N working principle of each module. Then we use the VHDL statements to complete the phase discriminator, digital filter and the design of the digital oscillator, and using the simulation tool of MAX + plus II one by one to verify the function of each module. Finally, the various modules together, established a first-order digital phase-locked loop circuit, using the simulation tool of MAX + plus II verify the realization of its function, the simulation results and principle Keywords: All Digital Phase-Locked Loop; Digital filter; Digital oscillator, Locking time

基于Matlab的数字锁相环的仿真设计

基于Matlab的数字锁相环的仿真设计 摘要:锁相环是一个能够跟踪输入信号相位变化的闭环自动跟踪系统。它广泛应用于无线电的各个领域,并且,现在已成为通信、雷达、导航、电子仪器等设备中不可缺少的一部分。然而由于锁相环设计的复杂性,用SPICE对锁相环进行仿真,数据量大,仿真时间长,而且需进行多次仿真以提取设计参数,设计周期长。本文借助于Matlab中Simulink仿真软件的灵活性、直观性,在Simulink 中利用仿真模块搭建了全数字锁相环的仿真模型。先借助模拟锁相环直观形象、易于理解的特点,通过锁相环在频率合成方面的应用,先对模拟锁相环进行了仿真,对锁相环的工作原理进行了形象的说明。在模拟锁相环的基础上,重新利用仿真模块搭建了全数字锁相环的仿真模型,通过仿真达到了设计的目的,验证了此全数字锁相环完全能达到模拟锁相环的各项功能要求。 关键词:锁相环,压控振荡器,锁定,Simulink,频率合成,仿真模块 1引言 1932年法国的H.de Bellescize提出同步捡波的理论,首次公开发表了对锁相环路的描述。到1947年,锁相环路第一次应用于电视接收机的水平和垂直扫描的同步。到70年代,随着集成电路技术的发展,逐渐出现集成的环路部件、通用单片集成锁相环路以及多种专用集成锁相环路,锁相环路逐渐变成了一个成本低、使用简便的多功能组件,为锁相技术在更广泛的领域应用提供了条件。锁相环独特的优良性能使其得到了广泛的应用,其被普遍应用于调制解调、频率合成、电视机彩色副载波提取、FM立体声解码等。随着数字技术的发展,相应出现了各种数字锁相环,它们在数字信号传输的载波同步、位同步、相干解调等方面发挥了重要的作用。而Matlab强大的数据处理和图形显示功能以及简单易学的语言形式使Matlab在工程领域得到了非常广泛的应用,特别是在系统建模与仿真方面,Matlab已成为应用最广泛的动态系统仿真软件。利用MATLAB建模可以快速地对锁相环进行仿真进而缩短开发时间。 1.1选题背景与意义 Matlab是英文MATrix LABoratory(矩阵实验室)的缩写。1980年,时任美国新墨西哥大学计算机系主任的Cleve Moler教授在给学生讲授线性代数课程时,为使学生从繁重的数值计算中解放出来,用FORTRAN语言为学生编写了方便使用Linpack和Eispack的接口程序并命名为MATLAB,这便是MATLAB的雏形。经过几年的校际流

CMOS4046集成电路研究锁相环(PLL)的工作原理 毕业论文外文翻译

本实验要使用CMOS4046集成电路研究锁相环(PLL )的工作原理。电路包括两个不同的鉴相器和一个VCO 。另外还有一个齐纳二极管参考电压源用在供电调节中,在解调器输出中有一个缓冲电路。用户必须提供环路滤波器。4046具有高输入阻抗和低输出阻抗,容易选择外围元件。 注意事项 1. 本实验较为复杂,进入实验室之前,确认你已经弄懂了电路预计应该怎样工作。对某样东西还没有充分分析之前,不要去尝试制作它。在开始实验之前要通读本文。 2. 在实验第一部分得到的数据要用来完成实验的其它任务。所以要仔细对待这部分内容。 3. 小心操作4046芯片,CMOS 集成电路很容易损坏。避免静电释放,使用10k Ω电阻把信号发生器的输出耦合到PLL 。在关掉4046供电电源之前先关闭信号发生器,或者从信号输入端给整个电路供电。要避免将输出端对电源或对地短路,TTL 门电路可以容忍这种误操作但CMOS 不能(要注意松散的导线)。CMOS 输出也没有能力驱动电容负载。VSS 应该接地,VDD 应该接5V ,引脚5应该接地(否则VCO 被禁止)。 1 VCO 工作原理 阅读数据手册中的电路描述。VCO 常数(0K 单位为弧度/秒-伏)是工作频率 变化与输入电压(引脚9上)变化之比值。测量出0K ,即,画出输出频率关于 输入电压的曲线。确认数据范围要覆盖5kHz 到50kHz 。对于R1, R2 和C 的各种参数取值进行测量,确定0K 对于R1 ,R2 和C 是怎样的近似关系。测量VCO 输出的上升和下降时间,研究电容性负载的影响。 2 无源环路滤波器 无源环路滤波器位于鉴相器输出与VCO 输入之间。此滤波器对鉴相器输出中的高次谐波进行衰减,并控制环路的强度。通常用一个简单RC 滤波器就可以满足要求,这种设计能避免有源滤波器设计中固有的电平移动和输出限制的恼人问题。但另外一方面,有源滤波器可以提供更优越的性能。 2.1 相位比较器 首先来看一下4046的相位比较器II 的输出。该输出端是一个三态器件,这可以在环路锁定时减小波纹。与存在两倍基频拍频的情况不同,这里没有任何拍频。糟糕的方面是,当我们需要为环路建立一个框图时,D K 却不能很好地定义。当向上或向下驱动之一接通时,输出端表现为电压源。但是当输出端悬浮时,它实质上为一个电流源(一个0A 电流源)。因此D K 的值将依赖于给定的滤波器。考察图1。 图1 相位比较器II 的输出 图中当向上驱动器接通时,相位比较器输出为5PO v V =+,当向下驱动器接通时,0PO v V =,当相位比较器处在开路状态时,PO D v v =。我们可以求出输出的平均值:

(完整版)锁相环工作原理

基本组成和锁相环电路 1、频率合成器电路 频率合成器组成: 频率合成器电路为本机收发电路的频率源,产生接收第一本机信号源和发射电路的发射信号源,发射信号源主要由锁相环和VCO电路直接产生。如图3-4所示。 在现在的移动通信终端中,用于射频前端上下变频的本振源(LO),在射频电路中起着非常重要的作用。本振源通常是由锁相环电路(Phase-Locked Loop)来实现。 2.锁相环: 它广泛应用于广播通信、频率合成、自动控制及时钟同步等技术领域 3.锁相环基本原理: 锁相环包含三个主要的部分:⑴鉴相器(或相位比较器,记为PD或PC):是完成相位比较的单元,用来比较输入信号和基准信号的之间的相位.它的输出电压正比于两个输入信号之相位差.⑵低通滤波器(LPF):是个线性电路,其作用是滤除鉴相器输出电压中的高频分量,起平滑滤波的作用.通常由电阻、电容或电感等组成,有时也包含运算放大器。⑶压控振荡器(VCO):振

荡频率受控制电压控制的振荡器,而振荡频率与控制电压之间成线性关系。在PLL中,压控振荡器实际上是把控制电压转换为相位。 1、压控振荡器的输出经过采集并分频; 2、和基准信号同时输入鉴相器; 3、鉴相器通过比较上述两个信号的频率差,然后输出一个直流脉冲电压; 4、控制VCO,使它的频率改变; 5、这样经过一个很短的时间,VCO 的输出就会稳定于某一期望值。 锁相环电路是一种相位负反馈系统。一个完整的锁相环电路是由晶振、鉴相器、R分频器、N分频器、压控振荡器(VCO)、低通滤波器(LFP)构成,并留有数据控制接口。 锁相环电路的工作原理是:在控制接口对R分频器和N分频器完成参数配置后。晶振产生的参考频率(Fref)经R分频后输入到鉴相器,同时VCO的输出频率(Fout)也经N分频后输入到鉴相器,鉴相器对这两个信号进行相位比较,将比较的相位差以电压或电流的方式输出,并通过LFP滤波,加到VCO的调制端,从而控制VCO的输出频率,使鉴相器两输入端的输入频率相等。 锁相环电路的计算公式见公式: Fout=(N/R)Fref 由公式可见,只要合理设置数值N和R,就可以通过锁相环电路产生所需要的高频信号。 4.锁相环芯片 锁相环的基准频率为13MHz,通过内部固定数字频率分频器生成5KHz或6.25KHz的参考频率。VCO振荡频率通过IC1 内部的可编程分频器分频后,与基准频率进行相位比较,产生误差控制信号,去控制VCO,改变VCO的振荡频率,从而使VCO输出的频率满足要求。如图3-5所示。 N=F VCO/F R N:分频次数 F VCO:VCO振荡频率

锁相环设计与MATLAB仿真

本科毕业设计论文 题目锁相环设计与MATLAB仿真 _______________________________________ 专业名称电子科学与技术 学生姓名何鹏 指导教师李立欣 毕业时间2010年6月

毕业 任务书 一、题目 《锁相环设计与MATLAB 仿真》 二、指导思想和目的要求 在了解锁相环的基本工作原理的基础上,熟悉其构成及数学模型,在对锁相环有了充分的要了解后,运用MATLAB 仿真软件对其进行仿真。通过仿真看锁相环是否工作正常,参数指标是否合格来判断是否达到了仿真要求。 三、主要技术指标 1.锁相环的基本原理 2.锁相环工作期间是否经历了失锁、跟踪、捕获、锁定等四个状态。 3.锁定后平率相位是否平稳。 四、进度和要求 第3~5 周:查阅和整理资料文献,确定研究模型和研究方向; 第6~8 周:分析模型,找出其中的缺陷; 第9~11 周: 提出更容易实现的结构,对该结构具体分析; 第11~13 周:整理资料进行论文撰写、装订并翻译英文文献; 第14~15 周: 论文评阅,答辩准备,答辩 五、主要参考书及参考资料 Floyd M .Gardner,锁相环技术(第三版)姚剑清 译,人民邮电出版社,2007 Roland E.Best,锁相环设计、仿真与应用(第五版),李永明 等译,清华学出版社,2007.4 学生 ___________ 指导教师 ___________ 系主任 ___________ 设计 论文

目录 中文摘要 (3) 英文摘要 (4) 前言 (6) 第一章绪论 (7) 1.1 锁相环的发展及国内外研究现状 (7) 1.2 本文的主要内容组织 (9) 第二章锁相环的基本理论 (10) 2.1锁相环的工作原理 (11) 2.1.1鉴相器 (11) 2.1.2 低通滤波器 (13) 2.1.3 压控振荡器 (15) 2.2锁相环的工作状态 (15) 2.3锁相环的非线性工作性能分析 (17) 2.3.1跟踪性能 (18) 2.3.2捕获性能 (18) 2.3.3失锁状态 (19) 2.4锁相环的稳定性 (20) 2.5信号流程图 (21) 2.6锁相环的优良特性 (21) 2.7锁相环的应用 (22) 2.7.1锁相环在调制和解调中的应用 (22) 2.7.2锁相环在频率合成器中的应用 (23) 2.8本章小结 (23) 第三章锁相环的噪声分析 (24)

全数字锁相环设计1

全数字锁相环设计1 全数字锁相环设计 锁相的概念是在19世纪30年代提出的,而且很快在电子学和通信领域中 获得广泛应用。尽管基本锁相环的从开始出现几乎保持原样,但是使用不同的 技术制作及满足不同的应用要求,锁相环的实现对于特定的设计还是蛮大的挑战。 锁相环在通信、雷达、测量和自动化控制等领域应用极为广泛,已经成为 各种电子设备中必不可少的基本部件。随着电子技术向数字化方向发展,需要 采用数字方式实现信号的锁相处理。锁相环技术在众多领域得到了广泛的应用。如信号处理,调制解调,时钟同步,倍频,频率综合等都应用到了锁相环技术。传统的锁相环由模拟电路实现,而全数字锁相环(DPLL)与传统的模拟电路实现 的锁相环相比,具有精度高且不受温度和电压影响,环路带宽和中心频率编程 可调,易于构建高阶锁相环等优点,并且应用在数字系统中时,不需A/D及 D/A转换。随着通讯技术、集成电路技术的飞速发展和系统芯片(SoC)的深入研究,全数字锁相环必然会在其中得到更为广泛的应用。因此,对全数字锁相环 的研究和应用得到了越来越多的关注。 传统的数字锁相环系统是希望通过采用具有低通特性的环路滤波器,获得 稳定的振荡控制数据。对于高阶全数字锁相环,其数字滤波器常常采用基于 DSP的运算电路。这种结构的锁相环,当环路带宽很窄时,环路滤波器的实现 将需要很大的电路量,这给专用集成电路的应用和片上系统SOC(system on chip)的设计带来一定困难。另一种类型的全数字锁相环是采用脉冲序列低通滤波计数电路作为环路滤波器,如随机徘徊序列滤波器、先N后M序列滤波器等。这些电路通过对鉴相模块产生的相位误差脉冲进行计数运算,获得可控振荡器 模块的振荡控制参数。由于脉冲序列低通滤波计数方法是一个比较复杂的非线 性处理过程,难以进行线性近似,因此,无法采用系统传递函数的分析方法确 定锁相环的设计参数。不能实现对高阶数字锁相环性能指标的解藕控制和分析,无法满足较高的应用需求。

相关主题
文本预览
相关文档 最新文档