当前位置:文档之家› AD536真有效值数字电压表

AD536真有效值数字电压表

AD536真有效值数字电压表
AD536真有效值数字电压表

ZY250V真有效值数字电压表

深圳市凌雁电子有限公司一.概述:

普通数字直流电压表自然只能测量直流电压,欲需测量交流电压必须增加AC/DC转换电路,一般的交流电压表为降低成本和简化电路,均使用简易的平均值响应交流/直流转换器。常用的平均值响应AC/DC转换器是运算放大器和二极管组成的半波(或全波)线性整流电路,这种电路具有线性度好、准确度高、电路简单、成本低廉等优点。但是这种电路是按照正弦波平均值与有效值的关系

(V RMS=1.111V p)来定义的,因此这类电表只能测量正弦波电压。

平均值AC/DC转换的电压表智能测量无失

真的正弦波电压,对于正弦波失真的交流电压,

这类电表测量就会引起误差,更不能测量方波、

矩形波、三角波、锯齿波、梯形波、阶梯波等

非正弦波,利用真有效值数字仪表可准确测量

各种波形的有效值,满足现代电子测量之需要。

二.真有效值数字仪表的基本原理:

所谓真有效值即为“真正有效值”之

意,英文缩写为“TRMS”,有的文献也称为真

均方根值,我们先回忆一下交流电压的有效值

的表达式,它的定义如下:

我们对式(1)进行变换,两边平方,并令,就得到真有效值电压的另一种表达式

从(3)式即得,对输入电压依次进行“取绝对值→平方/除法→取平均值”运算,也能得到交流电压的有效值,而且这公式更有使用价值。举例说明:假如要测量一电压变化范围是0.1V-10V,平方后u2=10mV—100V,这就要求平方器具有相当大的动态范围(10000:1),这样的平方电路误差就可能超过1mV,要平方器能输出100V的电压,技术上是难以实现的。如果使用式(3)的既便于设计电路,也能保证了准确度。因此,目前大多数的集成单片真有效值/直流转换器均采用式(3)的原理而设计。

真有效值仪表的的核心器件是TRMS/DC转换器。现在市场上这类单片的集成芯片很多,真有效值

仪表普遍使用了这类集成电路。单片集成电路具有集成度高、功能完善,外围元件少,电路连接简单、电性能指标容易保证等诸多优点,这类芯片能准确、实时测量各种电压波形的有效值,无须考虑波形参数和失真,这些性能是平均值仪表无法比拟的。

三.真有效值TRMS应用电路简述:

转换电路,AD536内含有源整流器(绝对值

电路),平方/除法电路,镜像电流源及缓

冲放大器。图中的R2和R3为偏置电阻,

两电阻的公共连接端接到AD536的OCM,由

于AD536的COM内部为CMOS电路,阻抗较

高,流经COM端的电流仅为数uA。C1为输

入隔直电容,C AV为平均电容,它与内部的

电阻r(25kΩ)构成低通滤波器,以获得平

均值电压,有效值电压通过AD536的第6

脚输出。

由于电路采用了隔直电容,所以这样的电路仅适合于测量交流电,不能测量直流或变化缓慢的电压。AD536的满量程电压为7V,如果使用的AD转换器输入电压范围不匹配,应设一个电压转换电路。

四.产品介绍:

以美国模拟数字器件公司的的TRMS/DC转

换器为代表产品有AD536、AD537、AD736和Array AD737等。我工作室开发的ZY250V电压表即采

用了高性能TRMS/DC芯片AD536AJ芯片,使得

仪表具有准确度高,响应速度快,失真小特点。

AD转换器也使用了Analog公司的12位逐次逼

近式AD转换器AD574ADJ,中央处理器使用

ATEML公司的AT89C2051。由于采用了高性能

的器件,使得这款电压表的性能非常优越。属

于高性能的真有效值电压表。可准确测量各种

波形的电压,在250V(AC)量程范围,电压的分

辨率理论值可达0.062V。精度优于0.2%。

右图为该仪表的内部结构图

基于单片机的数字电压表设计报告

单片机原理及系统课程设计 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2010 年 3 月 7 日

基于单片机的数字电压表设计 摘要

图3.2系统原理图4软件设计

5.系统调试及仿真结果 6.总结 两周的课程设计结束了,在这过程中,我学到了很多东西。首先,我学会了单片机设计的基本过程有哪些,每一过程有哪些基本的步骤,怎样通过查资料去完成这每一步。其次我巩固了上学期所学的一些单片机知识,从而加深了对ADC0809芯片的功能的了解。在编程过程中,遇到了许多困难,通过与同学之间的交流和咨询,最后解决了这些困难。所谓实践出真知,学到的东西只有运用到实践当中,才能真正体会到知识的力量。最后,通过这次课程设计,让我明白了想法和实践还是有差距的,当你真正去做一件事的时候,你会发现你的想法可能不适用,随时都需要调整,另外扎实的理论知识也是完成设计任何设计必不可少的要素,一切想法离开了理论知识都是空想。 参考文献 [1]彭为,黄科,雷道仲.单片机典型系统设计实例精讲[M].电子工业出版社.2009:22-54. [2] 谭浩强.C程序设计(第三版)[M].清华大学出版社.2009:32-46. [3] 王思明,张金敏,张鑫等.单片机原理及应用系统设计(第一版)[M].科学出版社.2012:70-292.

附录A源程序代码#include #include #define uchar unsigned char sbit p21=P2^1; sbit p22=P2^2; sbit p23=P2^3; sbit EOC=P3^1; sbit OE=P3^0; sbit ST=P3^2; sbit p34=P3^4; sbit p35=P3^5; sbit p36=P3^6;

基于51单片机的数字电压表设计说明

1.1数字电压表介绍 数字电压表简称DVM,数字电压表基本原理是将输入的模拟电压信号转化为数字信号,再进行输出显示。而A/D转换器的作用是将连续变化的模拟信号量转化为离散的数字信号,器基本结构是由采样保持,量化,编码等几部分组成。因此AD转换是此次设计的核心元件。输入的模拟量经过AD转换器转换,再由驱动器驱动显示器输出,便得到测量的数字电压。 本次自己的设计作品从各个角度分析了AD转换器组成的数字电压表的设计过程及各部分电路的组成及原理,并且分析了数模转换进而使系统运行起来的原理及方法。通过自己的实践提高了动手能力,也只有亲历亲为才能收获掌握到液晶学过的知识。其实也为建立节约成本的意识有些帮助。本次设计同时也牵涉到了几个问题:精度、位数、速度、还有功耗等不足之处,这些都是要慎重考虑的,这些也是在本次设计中的收获。 1.3 本次设计要求 本次设计的作品要求制作数字电压表的量程为0到10v,由于用到的模数转换芯片是ADC0809,设计系统给的供电电压为+5v,所以能够测量的电压围为-0.25v到5.25v之间,但是一般测量的直流电压围都在这之上,所以采用电阻分压网络,设计的电压测量围是0到25v之间,满足设计要求的最大量程5v的要求。同时设计的精度为小数点后三位,满足要求的两位小数的精度,在不考虑AD芯片的量化误差的前提下,此次设计的精度能够满足一般测量的要求。

2单片机和AD相关知识 2.1 51单片机相关知识 51单片机是对目前所有兼容intel 8031指令系统的单片机的统称。该系列单片机的始祖是intel的8031单片机,后来随着技术的发展,成为目前广泛应用的8为单片机之一。单片机是在一块芯片集成了CPU、RAM、ROM、定时器/计数器和多功能I/O口等计算机所需要的基本功能部件的大规模集成电路,又称为MCU。51系列单片机包含以下几个部件: 一个8位CPU;一个片振荡器及时钟电路; 4KB的ROM程序存储器; 一个128B的RAM数据存储器; 寻址64KB外部数据存储器和64KB外部程序存储空间的控制电路; 32条可编程的I/O口线; 两个16位定时/计数器; 一个可编程全双工串行口; 5个中断源、两个优先级嵌套中断结构。51系列单片机如下图: 图1 51单片机引脚图

电压表的读数规则_如何读取电流表、电压表

电压表的读数规则_如何读取电流表、电压表 电压表是测量电压的一种仪器,常用电压表伏特表符号:V,在灵敏电流计里面有一个永磁体,在电流计的两个接线柱之间串联一个由导线构成的线圈,线圈放置在永磁体的磁场中,并通过传动装置与表的指针相连。大部分电压表都分为两个量程。电压表有三个接线柱,一个负接线柱,两个正接线柱,电压表的正极与电路的正极连接,负极与电路的负极连接。电压表必须与被测用电器并联。电压表是个相当大的电阻器,理想的认为是断路,在并联电路中并联了电压表(跟别的用电器并联)和用电器,如果在干路中没有其他的用电器,可以认为测量电源电压。 本文主要介绍一下电压表的读数规则及如何读取电流表、电压表,跟随小编一起来了解一下。 电压表的读数规则具体估读方法如下: (1)量程为3 V和3 A的电压表和电流表,其最小分度为0.1 V和0.1 A,读数要估读到最小分度的十分之一。 (2)量程为0.6 A的电流表,其最小分度为0.02 A,读数要估读到最小分度的一半,即不足半格的略去,超过半格的要按半格读出,因此最后读数如果以安培为单位,小数点后面有两位,尾数可能为0、1、2、3 (3)量程为15 V的电压表,其最小分度为0.5 V,读数要估读到最小分度的,因此最后读数以伏特为单位,小数点后面只有一位,尾数为0、1 2、3、4 (4)根据表的量程及最小分度值,正确读出表的读数,包括估读。 例题:如图1-57甲、乙两图为常用的电流表和电压表的刻度盘,在甲图中如果接入电路的+和-0.6两个接线柱,则表的示数为_____,如果接入电路的是+和-3两个接线柱,则表的示数为_____. 在乙图中,若选用的量程为0~15 V,则表的示数为_____,若选用的量程为0~3 V,则表的示数为_____.

实验四 交流电压表的测量及分析

实验四 交流电压表的测量及分析 一、实验目的和要求 1. 了解交流电压测量的基本原理。 2. 熟悉实验所用模拟电压表和数字电压表的性能参数,掌握电压表的基本测量方法。 3. 分析几种典型电压波形对不同检波特性电压表的响应,以及它们之间的换算关系。 能对不同检波特性电压表的读数进行解释和修正,并对测量结果做误差分析。 4. 认真按实验内容的要求进行实验,记录有关的数据和波形,回答实验内容中提出的 有关问题,并按时提交实验报告。 二、预习要求 1.复习好教材《电子测量与仪器》第五章电压测量的理论知识,掌握电压测量的基本方法。 2.参照实验仪器使用说明书,预先了解实验仪器的性能指标及熟悉实验仪器各旋钮、开关的作用。 3.详细阅读实验指导书,作好测试记录和绘制波形的准备。 三、实验原理 一个交流电压的大小,可以用峰值,平均值,有效值U ,以及波形因数K F ,波峰因数K P 等表征,若被测电压的瞬时值为 ,则 全波平均值为 有效值为 波形因数为 波峰因数为 而用来测量电压的指针式电压表中的检波器有多种形式,一般来说,具有不同检波特性的电压表都是以正弦电压的有效值来定度的,但是,除有效值电压表外,电压表的示值本身并不直接代表任意波形被测电压的有效值。因此,如何利用不同检波特性的电压表的示值(即 读数)来正确求出被测电压的均值,峰值,有效值U ,这便是一个十分值得注意的问题。 根据理论分析,不同波形的电压加至不同检波特性的电压表时,要由电压表读数确定被 测电压的、U 、,一般可根据表4-1的关系计算。 从表4-1可知,用具有有效值响应的电压表和平均值响应的电压表分别对各种波形的 电压测量时,就算读数相同,要正确求出被测电压的均值、有效值U 和峰值,很多情况下还需进一步的换算。更详细的波形参数请参见教材160页表5.1。 U ? U ) (t u ?= T dt t u T U 0 )(1?= T dt t u T U 0 2 )(1U K F = U U K P ?= U U ? U ? U U U ?

数字电压表的设计实验报告

课程设计 ——基于51数字电压表设计 物理与电子信息学院 电子信息工程 1、课程设计要求 使用单片机AT89C52和ADC0832设计一个数字电压表,能够测量0-5V之间的直流电压值,两位数码显示。在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为 5V;能用两位LED进行轮流显示或单路选择显示,显示精度0.1伏。 2、硬件单元电路设计 AT89S52单片机简介 AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含8k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存

储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS -51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。 AT89S52具有如下特点:40个引脚,8k Bytes Flash片内程序存储器,256 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级,2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。 ADC0832模数转换器简介 ADC0832 是美国国家半导体公司生产的一种8 位分辨率、双通道A/D转换芯片。由于它体积小,兼容性强,性价比高而深受单片机爱好者及企业欢迎,其目前已经有很高的普及率。学习并使用ADC0832 可是使我们了解A/D转换器的原理,有助于我们单片机技术水平的提高。 图1 芯片接口说明: 〃 CS_ 片选使能,低电平芯片使能。 〃 CH0 模拟输入通道0,或作为IN+/-使用。

交流电压测量——4

交流电压测量 (常规仪器方式) 一、实验目的: 了解交流电压测量的基本原理,分析几种典型电压波形对不同检波特性电压表的响应,以及它们之间的换算关系,并对测量结果做误差分析。 二、实验原理: 一个交流电压的大小,可以用峰值U ?,平均值U ,有效值U ,以及波形因数K F ,波峰因数K P 等表征,若被测电压的瞬时值为)(t u ,则 全波平均值为 ? = T dt t u T U 0 )(1 有效值为 ?= T dt t u T U 02 )(1 波形因数为 U U K F = 波峰因数为 U U K P ?= 而用来测量电压的指针式电压表中的检波器有多种形式,一般来说,具有不同检波特性的电压表都是以正弦电压的有效值来定度的,但是,除有效值电压表外,电压表的示值本身并不直接代表任意波形被测电压的有效值。因此,如何利用不同检波特性的电压表的示值(即 读数)来正确求出被测电压的均值U ,峰值U ?,有效值U ,这便是一个十分值得注意的问题。 根据理论分析,不同波形的电压加至不同检波特性的电压表时,要由电压表读数确定被 测电压的U ?、U 、U ,一般可根据表1的关系计算。 从表1可知,用具有有效值响应的电压表和平均值响应的电压表分别对各种波形的电压测量时,若读数相同,只分别表示不同波形的被测电压有效值U 相同和平均值U 相同,而其余的并不一定相同。

三、实验设备: 1、DA-16晶体管毫伏表(均值检波)1台; 2、TD1914A数字毫伏表(有效值检波)1台; 3、函数信号发生器,型号YB1634,指标:0.2Hz-2MHz,数量1台; 4、双踪示波器,型号YB4320A,指标:20MHz,数量1台。 四、实验预习要求: 1、复习好《电子测量》中电压测量的有关章节。 2、参照仪器使用说明书,了解DA-16晶体管毫伏表、TD1914数字毫伏表、函数信号 发生器及双踪示波器的使用方法。 3、详细阅读实验指导书,作好绘制波形和测试记录的准备。 五、实验步骤: 1、将均值电压测量的实验仪器准备就绪,如下图所示。 2、将DA-16晶体管毫伏表置于1V/0db档位,如下图所示。 3、将DA-16晶体管毫伏表的输入线短接,如下图所示。 4、将DA-16晶体管毫伏表接通电源,待表针稳定,进行调零,如下图所示。 5、打开函数信号发生器的电源,选择产生1KHz左右的正弦波信号,如下图所示。 6、将函数信号发生器的信号线与DA-16晶体管毫伏表的输入端相接,如下图所示。 7、调节函数信号发生器的幅度输出,使DA-16的指示为0.7V,如下图所示。 8、打开示波器的电源,并进行校准,如下图所示。 9、将示波器探头与信号相接,并读出信号峰值,填入表2,如下图所示。 10、由函数信号发生器分别产生三角波、方波,并调节其幅度使电压表指示为0.7V,然后由示波器读出信号峰值,填入表2。 11、将DA—16电压表(平均值检波)换为TD1914A电压表(有效值检波),选择1V/0db 档位,并将其输入线短接,自动调零,如下图所示。 12、将示波器、函数信号发生器、电压表进行连接,如下图所示。 13、调节函数信号发生器的输出幅度,使电压表显示为0.7V,并从示波器上读出信号峰值,填入表2,如下图所示。 14、由函数信号发生器分别产生三角波、方波,并调节其幅度使电压表指示为0.7V, 然后由示波器读出信号峰值,填入表2。 比较由各电压表读数计算出的峰值U?和由示波器直接读出的峰值U?是否一致,并将测量和计算结果填入表2。

数字电压表设计课程设计

东北石油大学课程设计 2

东北石油大学课程设计任务书 课程硬件课程设计 题目数字电压表设计 专业 主要内容、基本要求等 一、主要内容: 利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言输入方法设计数字钟。可以利用层次设计方法和VHDL语言,完成硬件设计设计和仿真。最后在EL教学实验箱中实现。 二、基本要求: 1、A/D转换接口电路的设计,负责对ADC0809的控制。 2、编码转换电路设计,负责把从ADC0809数据总线中读出的电压转换成BCD码。 3、输出七段显示电路的设计,负责将BCD码用7段显示器显示出来。 三、参考文献 [1] 潘松.EDA技术实用教程[M].北京:科学出版社, 2003.11-13. [2] 包明.《EDA技术与数字系统设计》.北京航天航空大学出版社. 2002. [3] EDA先锋工作室.Altera FPGA/CPLD设计[M].北京:人民邮电出版社 2005.32-33. [4] 潘松.SOPC技术实用教程[M] .清华大学出版社.2005.1-15. 完成期限第18-19周 指导教师 专业负责人

摘要 本文介绍了基于EDA技术的8位数字电压表。系统采用CPLD为控制核心,采用VHDL语言实现,论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。在硬件电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,。VHDL的英文全名是 Very-High-Speed Integrated Circuit HardwareDescription Language,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。 电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA技术的应用走向普及。CPLD是新型的可编程逻辑器件,采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。而 VHDL语言是EDA的关键技术之一,它采用自顶向下的设计方法,完成系统的整体设计。 本文用CPLD芯片和VHDL语言设计了一个八位的数字电压表。它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。 关键词:数字电压表;QuartusⅡ软件;EDA(电子设计自动化)

电压有效值测量

低频电子线路课程设计 ----电压有效值测量电路 姓名:小杰 专业班级:通信工程(4)班 学号:xxxxxxxxx 实验时间:2013.11.25-2013.11.26

电压有效值测量电路 摘要:采用通用运放LM 324和检波二极管设计一个峰值半波整流电路,实现对正弦波电压有效值的测量,先设计电路图用Multisim软件进行仿真,再根据仿真的电路图在面包板上连接电路,用信号发生器和万用表检验实际电路是否符合要求。 一、设计任务与技术指标 1.设计任务 采用通用运放LM 324和检波二极管设计一个峰值半波整流电路,实现对正弦波电压有效值的测量。 2.技术指标 输入信号频率范围:0~100mV 上限频率:5KHz 电压显示:万用表直流档 电源电压:12V范围内可任选 二、设计要求 1.熟悉电路的工作原理。 2.根据技术指标通过分析计算确定电路形式和参数元件。 3.画出电路原理图。(元器件标准化,电路图规范化) 4.计算机仿真。 三、实验要求: 1、根据技术指标确定测试项目、测试方法和步骤。 2、确定实验所用仪器。 3、作出记录数据的表格。 4、完成实验。 四、实验原理 1、电路工作原理 下图为精密半波整流电路与电容滤波电路所组成的实验原理图,它属于反相型运放电路。当输入电压为正极性时,运放输出为负极性时,运放输出U o1 为负 极性,二极管D2导通、D1截止,输出电压U O 为零。当输入电压U I 为负极性时, U o1 为正极性,此时D1导通、D2截止,电路处于反相比例运算状态,输出电压 U O =-U I R f /R i。

图1. 仿真实验原理电路图

单片机课程设计报告——数字电压表[1]剖析

数字电压表 单片机课程设计报告 班级: 姓名: 学号: 指导教师: 2011 年3 月29 日

数字电压表电路设计报告 一、题目及设计要求 采用51系列单片机和ADC设计一个数字电压表,输入为0~5V线性模拟信号,输出通过LED显示,要求显示两位小数。 二、主要技术指标 1、数字芯片A/D转换技术 2、单片机控制的数码管显示技术 3、单片机的数据处理技术 三、方案论证及选择 主要设计方框图如下: 1、主控芯片 方案1:选用专用转化芯片INC7107实现电压的测量和实现,用四位数码管显示出最后的转换电压结果。缺点是京都比较低,内部电压转换和控制部分不可控制。优点是价格低廉。 方案2:选用单片机AT89C51和A/D转换芯片ADC0809实现电压的转换和控制,用四位数码管显示出最后的转换电压结果。缺点是价格稍贵;优点是转换京都高,且转换的过程和控制、显示部分可以控制。 基于课程设计的要求和实验室能提供的芯片,我选用了:方案2。 2、显示部分 方案1:选用4个单体的共阴极数码管。优点是价格比较便宜;缺点是焊接时比较麻烦,容易出错。 方案2:选用一个四联的共阴极数码管,外加四个三极管驱动。这个电路几乎没有缺点;优点是便于控制,价格低廉,焊接简单。 基于课程设计的要求和实验室所能提供的仪器,我选用了:方案2。

四、电路设计原理 模拟电压经过档位切换到不同的分压电路筛减后,经隔离干扰送到A/D 转换器进行A/D 转换。然后送到单片机中进行数据处理。处理后的数据送到LED 中显示。同时通过串行通讯与上位通信。硬件电路及软件程序。而硬件电路又大体可分为A/D 转换电路、LED 显示电路,各部分电路的设计及原理将会在硬件电路设计部分详细介绍;程序的设计使用汇编语言编程,利用Keil 和PROTEUS 软件对其编译和仿真。 一般I/O 接口芯片的驱动能力是很有限的,在LED 显示器接口电路中,输出口所能提供的驱动电流一般是不够的尤其是设计中需要用到多位LED ,此时就需要增加LED 驱动电路。驱动电路有多种,常用的是TTL 或MOS 集成电路驱动器,在本设计中采用了74LS244驱动电路。 本实验采用AT89C51单片机芯片配合ADC0808模/数转换芯片构成一个简易的数字电压表,原理电路如图1所示。该电路通过ADC0808芯片采样输入口IN0输入的0~5 V 的模拟量电压,经过模/数转换后,产生相应的数字量经过其输出通道 D0~D7传送给AT89C51芯片的P0口。AT89C51负责把接收到的数字量经过数据处理,产生正确的7段数码管的显示段码,并通过其P1口传送给数码管。同时它还通过其三位I/O 口P1.0、P1.1、P1.2、P1.3产生位选信号,控制数码管的亮灭。另外,AT89C51还控制着ADC0808的工作。其ALE 管脚为ADC0808提供了1MHz 工作的时钟脉冲;P2.4控制ADC0808的地址锁存端 (ALE);P2.1控制ADC0808的启动端(START);P2.3控制ADC0808的输出允许端(OE);P2.0控制ADC0808的转换结束信号(EOC)。 电路原理图如下所示,三个地址位ADDA,ADDB,ADDC 均接高电平+5V 电压,因而所需测量的外部电压可由ADC0808的IN7端口输入。由于ADC0808

基于LABVIEW的数字电压表的设计

学号 XX 虚拟仪器 学生姓名XX 专业班级XX

基于LABVIEW的数字电压表的设计 一、设计目的 1.掌握数字电压表的基本原理和方法。 2.基于LabView设计数字电压表并实现。 二、设计原理 电压是电路中常用的电信号,通过电压测量,利用基本公式可以导出其他的参数。因此,电压测量是其他许多电参数和非电参数量的基础。测量电压相当普及的一种测量仪表就是电压表,但常用的是模拟电压表。模拟电压表根据检波方式的不同。分为峰值电压表、均值电压表和平均值电压表,它们都各自做成独立的仪表。这样,使用模拟电压表进行交流电压测量时,必须根据测量要求选择仪表。另外,多数电压表的表头是按正弦交流有效值刻度的,而测量非正弦波时,必须经过换算才能得到正确的测量结果,从而给实际工作带来不便。 采用虚拟电压表,可将表征交流电压特征的峰值、平均值和有效值集中显示在一块面板上,测量时可根据波形在面板上选择仪表,用户仅通过面板指示值就能对测量结果进行分析比较,大大简化了测量步骤。 三、设计思路 LabVIEw 8.5版本的工程技术比以往任何一个版本都丰富.它采用了英文界面,各个控件的功能一目了然。利用它全新的用户界面对象和功能,能开发出专业化、可完全自定义的前面板。LabVIEW 8.2对数学、信号处理和分析也进行了重大的补充和完善,信号处理分析和数学具有更为全面和强大的库,其中包括500多个函数。所以在LabVIEW 8.5版本下能够更方便地实现虚拟电压表的设计。 该电压表主要用于电路分析和模拟电子技术等实验课的教学和测量仪器,能够让使用者了解和掌握电压的测量和电压表对各种波形的不同响应。因此,虚拟电压表应具备电源开关控制、波形选择,以及显示峰值、有效值和平均值三种结果,且输入信号的大小可调节等功能。所以,用软件虚拟了一个信号发生器。该信号发生器可产生正弦波、方波和三角波,还可以输入公式,产生任意波形。根据需要,可调节面板上的控件来改变信号的频率和幅度等可调参数,然后检测电压表的运行情况。因此,在LabVIEW图形语言环境下设计的虚拟电压表主要分为

电流表和电压表的估读方法

电流表和电压表的估读方法 认识电表:(以实验室学生用表为例) 1、电流表:图1,学生实验中用的直流电流表的量程为0~ ~ 3A ,内阻一般在1Ω 以下。(毫安表的内阻一般在几欧~几十欧)。 2、电压表:图2,学生实验中用的直流电压表的量程为0~3V~15V ,两个量程的内阻一般分别为3k Ω 和15k Ω 。 一、常用估读方法: 1、量程为3 V 和3 A 的电压表和电流表,其最小分度值为 V 和 A, 为1分度表,读数要估读到最小分度值的十分之一.若无估读,则在精度的下一位补“0” ,因此最后读数如果以V (A)为单位,小数点后面有两位,尾数可能为0、1、 2、 3、 4、 5、 6、 7、 8、9. 2、量程为 A 的电流表,其最小分度值为 A, 为 2分度表,读数要估读到最小分度值的二分之一 (半格估读).因此最后读数如果以A 为单位,小数点后面有两位,尾数可能为0、1、2、3、4、5、6、7、8、9. 有效数字的末位就是精度的同一位(含估读数),若无估读不需补“0”。若用0~量程,其精度为,说明测量时只能准确到,不可能准确到,因此误差出现在安培的百分位(),读数只能读到以A 为单位的百分位,以估读最小分度半小格为宜,将最小分度分为二等分,把不足半等分的舍去,等于或超过半等分的算一个等分。 图1 05 10 图2

3、量程为15 V 的电压表,其最小分度值 为 V, 为5分度表, 读数要估读到最小分 度值的五分之一.因此最后读数如果以V 为单位,小数点后面只有一位,尾数可能为0、1、2、3、4、5、6、7、8、9. 表盘的最小分度值为/格,虽能准确读到,但误差仍出现在最小分度值的同一 数位上,即以V 为单位的十分位。其估读法则是:将最小分度分为五等分,即为。 二、半格估读法: 实验所用电流表和电压表精度并不高,可采用1/2估读,或称半格估读,只将最小分度值分为2等份作估读。 例如: 1、若是0~档,最小分度值为, 半格为,图中读数应为 若是0~3A 档,最小分度值为, 半格为 ,图中读数应为 A 2、若是0~3V 档,最小分度值为, 半格为,图中读数应为 若是0~15V 档,最小分度值为, 半格为,通常可估读为或 (因有效数字出现在以V 为单位的十分位上) 图中读数应为 11. 2V 或 注:除电流表和电压表外,实验用的弹簧测力计、酒精温度计通常也采用上述方法。

电压测量练习题

电压测量 一、填空题 1、用一只0.5 级50V的电压表测量直流电压,产生的绝对误差≤__伏。 答案:0.25 2、用峰值电压表测量某一电压,若读数为1V,则该电压的峰值为____伏。 答案: 1.41 3、采用某电压表(正弦有效值刻度)测量峰值相等(Vp=5V)的正弦波、方波、三角波,发现读数相同,则该表为____检波方式,读数____。 答案:峰值 3.53V 4、.峰值电压表的基本组成形式为________式。 答案:检波—放大 7、某数字电压表的最大计数容量为19999,通常称该表为________位数字电压表;若其最小量程为0.2V,则其分辨力为________ 。 答案:(或四位半) , 10μV 9. 四位半的DVM测量15V的稳压电源电压为15.125V,取四位有效数字时其值为。答案: 15.12V 二、判断题: 2、数字电压表的固有误差由两项组成,其中仅与被测电压大小有关的误差叫读数误差,与选用量程有关的误差叫满度误差。()√ 5、有效值电压表适应于非正弦波的电压测量,其电压刻度与被测电压波形无关。()× 6、双斜式DVM中,其平均特性可以抑制共模干扰影响。()√ 7、双积分式DVM中变换结果与积分器积分元件RC有关,但其积分器线性不好也不会引起测量误差。()× 8、对于双积分式DVM,对输入信号积分的时间只有等于工频(50Hz)的周期时,才能抑制工频干扰。()× 9. 一台四位半的DVM,基本量程为2V,则其具有超量程能力。( ) × 四位半的DVM显示为19999,若基本量程为2V,则不能再超过此值。 三、选择题: 1、交流电压的波峰因素Kp定义为____。( C )

单片机课程设计 数字电压表设计

《单片机原理及应用》课程设计报告书 课题名称数字电压表设计 名姓 学号 专业

指导教师 机电与控制工程学院月年日 1 任务书 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以8051单片机为核心,以逐次逼近式A/D转换器ADC0809、LED显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压,最小分辨率为0.02V。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的8051单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上,该部分决定了数字电压表的精度等主要技术指标。根据需要本设计采用逐次逼近型A∕D转换器ADC0809进行模数转换。 3、键盘显示部分。利用4×6矩阵键盘的一个按键控制量程的转换,3或4位LED显示。其中一位为整数部分,其余位小数部分。 关键词:8051 模数转换LED显示矩阵键盘 2 目录

1 绪论 (1) 2 方案设计与论证 (2) 3 单元电路设计与参数计算 (3) 4 总原理图及参考程序 (8) 5 结论 (14) 6 心得体会 (15) 参考文献16 (7) 3 1.绪论 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优

点。 电压表的数字化测量,关键在于如何把随时连续变化的模拟量转化成数字量,完成这种转换的电路叫模数转换器(A/D)。数字电压表的核心部件就是A/D转换器,由于各种不同的A/D转换原理构成了各种不同类型的DVM。一般说来,A/D 转换的方式可分为两类:积分式和逐次逼近式。 积分式A/D转换器是先用积分器将输入的模拟电压转换成时间或频率,再将其数字化。根据转化的中间量不同,它又分为U-T(电压-时间)式和U-F(电压-频率)式两种。 逐次逼近式A/D转换器分为比较式和斜坡电压式,根据不同的工作原理,比较式又分为逐次比较式及零平衡式等。斜坡电压式又分为线性斜坡式和阶梯斜坡式两种。 在高精度数字电压表中,常采用由积分式和比较式相结合起来的复合式A/D转换器。本设计以8051单片机为核心,以逐次比较型A/D转换器ADC0809、LED 显示器为主体,构造了一款简易的数字电压表,能够测量1路0~5V直流电压,最小分辨率0.02V。 4 2.方案设计与论证 基于单片机的多路数字电压表电路的基本组成如图3.1所示。

基于51单片机的数字电压表设计

目录 摘要........................................................................ I 1 绪论. (1) 1.1数字电压表介绍 (1) 1.2仿真软件介绍 (1) 1.3 本次设计要求 (2) 2 单片机和AD相关知识 (3) 2.1 51单片机相关知识 (3) 2.2 AD转换器相关知识 (4) 3 数字电压表系统设计 (5) 3.1系统设计框图 (5) 3.2 单片机电路 (5) 3.3 ADC采样电路 (6) 3.4显示电路 (6) 3.5供电电路和参考电压 (7) 3.6 数字电压表系统电路原理图 (7) 4 软件设计 (8) 4.1 系统总流程图 (8) 4.2 程序代码 (8) 5 数字电压表电路仿真 (15) 5.1 仿真总图 (15) 5.2 仿真结果显示 (15) 6 系统优缺点分析 (16) 7 心得体会 (17) 参考文献 (18)

1 绪论 1.1数字电压表介绍 数字电压表简称DVM,数字电压表基本原理是将输入的模拟电压信号转化为数字信号,再进行输出显示。而A/D转换器的作用是将连续变化的模拟信号量转化为离散的数字信号,器基本结构是由采样保持,量化,编码等几部分组成。因此AD转换是此次设计的核心元件。输入的模拟量经过AD转换器转换,再由驱动器驱动显示器输出,便得到测量的数字电压。 本次自己的设计作品从各个角度分析了AD转换器组成的数字电压表的设计过程及各部分电路的组成及原理,并且分析了数模转换进而使系统运行起来的原理及方法。通过自己的实践提高了动手能力,也只有亲历亲为才能收获掌握到液晶学过的知识。其实也为建立节约成本的意识有些帮助。本次设计同时也牵涉到了几个问题:精度、位数、速度、还有功耗等不足之处,这些都是要慎重考虑的,这些也是在本次设计中的收获。 1.2仿真软件介绍 Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows 操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是: (1)现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。 (2)支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、 A VR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。 (3)提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。 (4)具有强大的原理图绘制功能。 可以仿真51系列、A VR、PIC、ARM、等常用主流单片机。还可以直接在基于原理图的虚拟原型上编程,再配合显示及输出,能看到运行后输入输出的效果。配合系统配置的

(完整word版)关于交流电压表测有效值的一点问题

关于交流电压表测有效值的问题? 一、 引言 记得很清楚高中物理题中借助交流电表的读数考查有效值问题。比如这样的一道考题一台理想变压器的原、副线圈的匝数比是5∶1原线圈接入电压220V 的正弦交流电各元件正常工作一只理想二极管和一个滑动变阻器R 串联接在副线圈上如图所示电压表和电流表均为理想交流电表则下列说法正确的是 A 原、副线圈中的电流之比为5∶1 B 电压表的读数约为31.11V C 若滑动变阻器接入电路的阻值为20 Ω则1 分钟内 产生的热量为2904 J D 若将滑动变阻器的滑片向上滑动则两电表读数均减小 这类问题在互联网上很容易查到,比如在菁优网上能查到和题目时分相似的题目,结合菁优 网的分析,上题对选项B 的分析过程应该为:根据变压器的电压变化比关系U 1U 2=n 1 n 2可求得副线圈两端的电压U 2=44V ,由于二极管的单向导电性,负载电阻R 两端的电压随时间变化的关系图像应如图2所示(U m =44√2V ) 根据有效值的定义,可求得电阻R 两端 的电压有效值U R =22√2V =31.11V ,所以 B 选项正确,这里认为电压表的读数就是 交流电的有效值,高中物理教程中讲“一 般交流电压表的读数就是交流电的有效值” 但是一般两字却让笔者产生了疑问,难道 还有什么特殊情况吗? 二、 实验探究 物理学是一门以实验为基础的科学,通过实验测量对结果检验是常用的方笔者通过在实验室做实验的机会进行了简单的测量,为了测量方便,笔者将测量电路图简化成如图3所示,接入低压正弦交流电源,发现不管如何调节电压大小,交流电压表始终没有显示,难道是电路中有断路,然而对检查之后没有发现断路,这是笔者注意到由于二极管的单向导电性,流过电阻的电流时有时无,但是方向保持不变,其两端应该是一个直流电压,既如图2所示的电压时直流电压,此时用交流电压表测量难道也有正负之分,因此笔者把交流电压表的两接线柱对调,果然交流电压表有示数了但是交流电压表的读数始终小于外接交流电压的有效值,即如果接入44 V 的正弦交流电,交流电压表的读数小于,明显交流电压表的读数不是电阻R 两端电压的有效值31. 11 V,到底为什么会出现这样的结果呢?

基于某STC89C52的数字电压表设计报告材料

荆楚理工学院 单片机课程设计成果 学院: 电子信息工程学院班级: 13电气2班 学生姓名:xxx学号:xxxxxxxxxxxxxxxx 设计地点(单位)单片机实验室D1302 设计题目:数字电压表 完成日期:2015年7月3日 指导教师评语: _________________________________ 成绩(五级记分制): 教师签名:

摘要 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以STC89C52单片机为核心,以逐次逼近式A/D转换器ADC0809、数码管显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的STC89C52单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上。根据需要本设计采用逐次逼近型A ∕D转换器ADC0809进行模数转换。 3、数码管显示部分。其中一位为整数部分,其余位小数部分。 关键词:STC89C52 模数转换数码管显示

目录 1.方案设计与论证 (4) 1.1方案设计 (4) 1.2方案论证 (4) 2.系统硬件电路设计 (4) 2.1系统原理框图 (4) 2.2 A/D转换电路 (5) 2.3单片机主控电路 (5) 2.4电压显示电路 (7) 2.5总体电路设计 (8) 3.系统测试 (10) 3.1测试方法与结果 (10) 3.2测试结论 (11) 3.3误差分析 (11) 4.设计总结 (11) 参考文献 (13) 附录 (14)

实验四 基于LabVIEW的虚拟直流电压表设计

西华大学实验报告(理工类) 开课学院及实验室:电气信息学院电气信息专业实验中心 实验时间:2014年 6 月 11 日 一、实验目的: 1. 理解双积分A/D 转换器7109及数字电压表的工作原理。 2. 掌握虚拟直流电压表设计的基本方法。 3. 测量数据的误差分析。 二、实验内容: 1. 根据实验指导实现直流电压表的设计。 设计要求:测试对象:电位器,外部电压 量程:40mV ,80 mV ,200 mV ,400 mV ,800 mV ,2V ,4V ,8V 。 2. 选择电压表不同量程和不同测量对象,进行测量。 三、实验器材: 1. 1.SJ-8002B 电子测量实验箱 1台 2.双踪示波器(20MHz 模拟或数字示波器) 1台 3.计算机(具有运行windowsXP 和LabVIEW 软件的能力) 1台 4. 万用表(3 1/2位以上) 1台 5. Q9连接线 1根 四、实验原理: 1. 双积分A/D 转换器ICL7109. 如图4-1为双积分A/D 转换器ICL7109实验电路图。 7109+ 图4-1 双积分式A/D 转换器7109测量电压原理图

2.工作原理 整个直流电压表设计主要包括四个部分: 7109工作原理,A/D转换时序,增益选择电路,通道输入电路。 系统电路图如图4-2所示: 图4-2 电路图 (1)7109工作原理 ICL 7109 是双积分式12 位A/D转换器,转换时间由外部时钟周期决定,为10140/58个时钟周期。 其主要引脚定义如下: ① B1~B12:12bit的数据输出端 ②OR:溢出判别,输出高电平表示过量程;反之,数据有效。 ③POL:极性判别,输出高电平表示测量值为正值;反之,负值。 ④MODE:方式选择,当输入低电平信号时,转换器处于直接输出工作方式。此时可在片选和字节使能的控制下直接读取数据;当输入高电平时,转换器将在信号信号握手方式的每一转换周期的结尾输出数据(本实验选用直接输出工作方式)。 ⑤REF:外部参考电压输入(本实验用其典型值:2.048V)。 ⑥INL,INH:输入电压端口(有效范围是参考电压的2倍)。 ⑦OO,OI:外部时钟输入(本实验用其典型值:3.579MHz)。 ⑧RUN/HOLD_:运行/保持输入, 当输入高电平时,每经8192时钟脉冲完成一次转换;当输入低电平时,完成正在进行的转换,并停在自动调零阶段 ⑨STATUS:状态输出,输出高电平,表明芯片处于积分和反向积分阶段;输出为低电平,表明反向积分结束,数据被锁存,模拟部分处于自动返回零态阶段。 ⑩CE/LOAD_:片选,当其为低电平时,数据正常输出;当其为高电平时,所有数据输出端(B1~B12、POL、OR)均处于高阻状态。 ⑾LBEN_:低字节使能,输入低电平时,数据线输出低位字节B1~B8。

数字电压表课程设计报告

湖南科技大学 信息与电气工程学院 课程设计报告 课程单片机原理及应用 题目:数字电压表 专业: 班级: 姓名: 学号: 任务书

1数字电压表的概述 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原理。 数字电压表的诞生打破了传统电子测量仪器的模式和格局。它显示清晰直观、读数准确,采用了先进的数显技术,大大地减少了因人为因素所造成的测量误差事件。数字电压表是把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式,并加以显示的仪表。数字电压表把电子技术、计算技术、自动化技术的成果与精密电测量技术密切的结合在一起,成为仪器、仪表领域中独立而完整的一个分支,数字电压表标志着电子仪器领域的一场革命,也开创了现代电子测量技术的先河。本设计采用了以单片机为开发平台,控制系采用 AT89C52单片机,A/D转换采用ADC0809。系统除能确保实现要求的功能外,还可以方便进

行8路其它A/D转换量的测量、远程测量结果传送等扩展功能。简易数字电压测量电路由 A/D转换、数据处理、显示控制等组成。 模拟式电压表具有电路简单、成本低、测量方便等特点,但测量精度较差,特别是受表头精度的限制,即使采用级的高灵敏度表头,读测时的分辨力也只能达到半格。再者,模拟式电压表的输入阻抗不高,测高内阻源时精度明显下降。数字电压表作为数字技术的成功应用,发展相当快。数字电压表(Digital VoIt Me-ter,DVM),以其功能齐全、精度高、灵敏度高、显示直观等突出优点深受用户欢迎。特别是以A/D转换器为代表的集成电路为支柱,使DVM向着多功能化、小型化、智能化方向发展。DVM应用单片机控制,组成智能仪表;与计算机接口,组成自动测试系统。目前,DVM多组成多功能式的,因此又称数字多用表(Digital Multi Meter,DMM)。 DVM是将模拟电压变换为数字显示的测量仪器,这就要求将模拟量变成数字量。这实质上是个量化过程,即将连续的无穷多个模拟量用有限个数字表示的过程,完成这种变换的核心部件是A/D转换器,最后用电子计数器计数显示,因此DVM的基本组成是A/D转换器和电子计数器。 DVM最基本功能是测直流电压,考虑到仪器的多功能化,可将其他物理量,如电阻、电容、交流电压、电流等,都变成直流电压,因此,还应有一个测量功能选择变换器,它包含在输入电路中。DVM对直流电压直接测量时的测量精度最高,其他物理量在变换成直流电压时,受功能选择变换器精度的限制,测量精度有所下降。 2、工作原理 系统采用12M晶振产生脉冲做8031的内部时钟信号,通过软件设置单片机的内部定时器T0产生中断信号。利用中断设置单片机的口取反产生脉冲做8031的时钟信号。通过键盘选择八路通道中的一路,将该路电压送入ADC0809相应通道,单片机软件设置ADC0809开始A/D转换,转换结束ADC0809的EOC端口产生高电平,同时将ADC0809的EO端口置为高电平,单片机将转换后结果存到片内RAM。系统调出显示子程序,将保存结果转化为分别保存在片内RAM;系统调出显示子程序,将转化后数据查表,输出到LED显示电路,将相应电压显示出来,程序进入下一个循环。 3、系统结构框图 4、8031的结构及其功能 在本次课题设计中我们选择了8031芯片。8031和8051是最常见的mcs51系列单片机,是inter公司早期的成熟的单片机产品,应用范围涉及到各行各业,下面介绍一下它的引脚图等资料。 <8031管脚图>

相关主题
文本预览
相关文档 最新文档