当前位置:文档之家› 电子设计大赛论文

电子设计大赛论文

电子设计大赛论文
电子设计大赛论文

精心整理

目录

0摘要--------------------------------------------------------2

1设计任务与要求----------------------------------------------2

1.1设计任务-----------------------------------------------2

1.2基本电压-----------------------------------------------2

1.3

2

3

3.1

3.2

3.3

4

4.1

4.2

4.3误差分析-----------------------------------------------7

5设计总结----------------------------------------------------8

6元件清单----------------------------------------------------8

7参考文献----------------------------------------------------9

8程序清单----------------------------------------------------10

0、摘要:本系统以DSP芯片为核心,控制过程是DSP接收数据并送入DA电路,利用AD820作为比较器,正端接D/A输出,负端接地,反馈输出接AD采样,失调电压调零,输出形成闭环回路,通过AD转换电路将实际值采回DSP,由DSP进行运算,得输入值与采回值之差即误差,输出结果通过12864液晶屏显示。系统通过LM4050为A/D,D/A提供基准电压,使系统具有较高的可靠性。采用的DSP减少了由运放产生的非线性误差。

关键字:信号发生器TMS320DSP芯片基准电源芯片LM4050

1、设计任务与要求

1.1设计任务:设计出有一定输出电压范围高精度毫伏信号发生器,并能够检测其输出精度

1.2基本要求

(1)输出电压:范围0~+1V,步进0.5mV;具有输出电压值(测量值)显示功能;由“+”、

(2、

(3

1.3

(1

(2;(3

2

相比,

器和

可提供

DSP 器件比

3

3.1

DSP是一种独特的微处理器,是以数字信号来处理大量信息的器件。其工作原理是接收模拟信号,转换为

)在一

(2

(3)片内具有快速RAM,通常可通过独立的数据总线在两块中同时访问;

(4)具有低开销或无开销循环及跳转的硬件支持;

(5)快速的中断处理和硬件I/O支持;

(6)具有在单周期内操作的多个硬件地址产生器;

(7)可以并行执行多个操作;

(8)支持流水线操作,使取指、译码和执行等操作可以重叠执行。

3.2数模转换模块

3.2.1D/A转换电路如图所示,通过AD5541芯片实现将数字量转换为模拟量,送给电压比较器。该电路通过LM4050提供基准电压源,UA741做电压跟随器,使电压稳定。AD820作为比较器,正端接D/A输出,负端接地,反馈输出接AD采样,失调电压调零,输出形成闭环回路,减少由运放产生的非线性误差,由DSP进行运算。

D/A转换电路

3.2.2AD5541芯片

AD5541为单通道、16位、串行输入、电压输出数模转换器(DAC),采用5V±10%单电源供电。

AD5541采用多功能三线式接口,并且与SPI、QSPI?、MICROWIRE?、DSP接口标准兼容。这些DAC

可提供16位性能,无需进行任何调整。DAC输出不经过缓冲,可降低功耗,并减少输出缓冲所造成的失调误差。

3.3基准电压源模块

3.3.1LM4050芯片

LM4050/是精密的二端、并联模式、带隙电压基准,具有多种固定反向击穿电压:1.225V、2.048V、2.500V、3.000V、3.3V、4.096V和5.000V。LM4050/LM4051采用超小型、3引脚SC70表贴封装

1和5空脚3.4

AD8320型高速

100kHz

仅为

SPI/SSI);采用8

A/D

至±18V

于25pA,10kHz

高350pF的容性负载,并可提供最低15mA的输出电流。因此,该放大器能够处理各种负载情况。AD820在A/D转换电路中有电压跟随器的作用。

3.5485通信模块

3.5.1max485芯片

MAX485是用于RS-485与RS-422通信的低功耗收发器。MAX485的驱动器摆率不受限制,可以实现最高2.5Mbps的传输速率。这些收发器在驱动器禁用的空载或满载状态下,吸取的电源电流在120μA至500μA之间。所有器件都工作在5V单电源下。驱动器具有短路电流限制,并可以通过热关断电路将驱动器输出置为高阻状态。接收器输入具有失效保护特性,当输入开路时,可以确保逻辑高电平输出。具有较高的抗干扰性能。MAX485是市面上最为常见的RS422芯片,亦是用量最大的RS422芯片,性价比高,优质,供货稳定是大部分厂家采用MAX485接口芯片是Maxim公司的一种RS-

MAX485芯片的结构和引脚都非常简单,内部含有一个驱动器和接收器。RO和DI端分别为接收器的输出和驱动器的输入端,与单片机连接时只需分别与单片机的RXD和TXD相连即可;/RE和DE端分别为接收和发送的使能端,当/RE为逻辑0时,器件处于接收状态;当DE为逻辑1时,器件处于发送状态,因为MAX485工作在半双工状态,所以只需用单片机的一个管脚控制这两个引脚即可;A端和B端分别为接收和发送的差分信号端,当A引脚的电平高于B时,代表发送的数据为1;当A 的电平低于B端时,代表发送的数据为0。在与单片机连接时接线非常简单。只需要一个信号控制MAX485的接收和发送即可。同时将A和B端之间加匹配电阻,一般可选100Ω的电阻。

MAX引脚(管脚)图及工作电路

3.5.2MAX232芯片

第一部分是电荷泵电路。由1、2、3、4、5、6脚和4只电容构成。功能是产生+12v和-12v两个电源,提供给RS-232串口电平的需要。第二部分是数据转换通道。由7、8、9、10、11、12、13、14脚构成两个数据通道。其中13脚(R1IN)、12脚(R1OUT)、11脚(T1IN)、14脚(T1OUT)为第一数据通道。8脚(R2IN)、9脚(R2OUT)、10脚(T2IN)、7脚(T2OUT)为第二数据通道。TTL/CMOS 数据从T1IN、T2IN输入转换成RS-232数据从T1OUT、T2OUT送到电脑DB9插头;DB9插头的RS-232数据从R1IN、R2IN输入转换成TTL/CMOS数据后从R1OUT、R2OUT输出。第三部分是供电。15脚GND、16脚VCC(+5v)。

3.5.3485串口通信电路

串口电路

3.6显示模块

显示电路采用12864液晶屏,该点阵的屏显成本相对较低,适用于各类仪器,小型设备的

显示领域。

液晶屏连线方式

4、系统调试

仪器名称型号数量

双通道示波器RIG—OL 1

数字万用表UT52 1

首先,把DA和AD的基准电压源调到两伏,然后满量程输出的值就应该是2伏,而实际上我们得

器,利用它的输入阻抗高,输出阻抗低的特点,同时通过减法器使实测电压缓慢的接近输入电压值,通过验证,AD采回的数值偏高,这样我们又加入ua741电压跟随器来调整基准电压的输出,最后调整到输入电压值和实测电压值偏差接近0.2毫伏之内,最后把基准电压确定在2.0伏,然后通过万用表和示波器测量出AD样值和AD的输出值通过LCD12864液晶屏将输入电压和实测电压显示出来,误差接近0.1毫伏,通过按键调整波特率,同时使输入电压步进0.1毫伏,这样反复通过AD820减法器使实测电压值接近输入值,这样就达到了本实验的要求和目的。

4.3误差分析

造成误差的原因有

(1)零点漂移:由于运算放大器的零点漂移,温度漂移等带来的误差,可以通过温度补偿措施来解决此误差。

(2)A/D,D/A转换误差:受AD转换器精度及基准源稳定程度的限制,不可避免地带来一定的误

(3

(4

5、

(1、(2

(3

6、

LM4050芯片一片

AD820芯片二片

ADS8320芯片一片

UA741芯片一片

MAX485芯片一片

MAX232芯片一片

胆电容一个

开关四个

三端稳压器7805,AS2830各一片

电阻,电容,导线若干

[1]全国大学生电子设计设计竞赛组委员会.全国大学生电子设计竞赛训练教程[M].北京电子工业

出版社,2005年

[2]全国大学生电子设计设计竞赛组委员会.全国大学生电子设计竞赛获奖作品汇编(第一届~第五

届)[M].北京理工大学出版社,2004年

[3]模拟电子技术基础.童诗白,华成英主编

[4]现代电力电子器件原理与应用.机械工业出版社

[5]TMS320x28xxx原理与开发.苏奎峰编

8、程序清单

//BUSLCD

#include"DSP281x_Device.h"//DSP281xHeaderfileIncludeFile

//

unsignedcharbaud_disp4[8]={'5','6','0','0','0','','\0'};

unsignedcharbaud_disp5[8]={'5','7','6','0','0','','\0'};

unsignedcharbaud_disp6[8]={'1','1','5','2','0','0','\0'};

unsignedcharnumber_tab[]={'0','1','2','3','4','5','6','7','8','9'};

//***********************************************

//***********************************************

//波特率设定首位高字节,二位低字节

//SCI_PRD[14]={4800,9600,19200,38400,56000,57600,115200};

unsignedcharSCI_PRD[14]={0x03,0xCF,0x01,0xE7,0x00,0xF3,0x00,0x79,0x00,0x52,0x00,0x50,0x00,0 x27};

voidsendDAT(chardat);//写显示数据;也可以写一个单字节字符voiddisplay(charx_add,chardat,chardat1);//写汉字到LCD屏指定位置voiddelay(unsignedintt);

//****************************************************

//SCI函数声明FIFO模式

interruptvoidscibTxFifoIsr(void);

interruptvoidscibRxFifoIsr(void);

interruptvoidcpu_timer0_isr(void);

voidscib_fifo_init(void);

voiddelay_ad(void);

//****************************************************

//

{

}

{

for(i=0;i<8;i++)

{

delay(1000);

if((dat&0x80)!=0)

else

dat=dat<<1;

}

}

//****************************************************

//如果需要改变半字地址,也是用此程序.只需输入地址就可以. voidsendCMD(chardat)//写控制指令

sendBYTE(0xf8);

sendBYTE(dat&0xf0);

sendBYTE((dat<<4)&0xf0);

}

//**************************************************** voidsendDAT(chardat)//写显示数据;也可以写一个单字节字符

{

sendBYTE(0xfa);

sendBYTE(dat&0xf0);

sendBYTE((dat<<4)&0xf0);

}

//****************************************************

//

{

}

{

}

//

{

unsignedcharn;

if((x_add>=GM12232_MINADD_L1)&&(x_add

for(n=0;p[n]!='\0';n++)

{sendDAT(p[n]);}

}

elseif((x_add>=GM12232_MINADD_L2)&&(x_add

for(n=0;p[n]!='\0';n++)

{sendDAT(p[n]);}

elseif((x_add>=GM12232_MINADD_L3)&&(x_add

for(n=0;p[n]!='\0';n++)

{sendDAT(p[n]);}

}

elseif((x_add>=GM12232_MINADD_L4)&&(x_add

for(n=0;p[n]!='\0';n++)

{sendDAT(p[n]);}

}

else

return;

}

//

}

//

}

//

{

sdataB[1]=ADVAL[0]=number_tab[intdata/10000];

a=intdata%10000;

sdataB[2]=ADVAL[2]=number_tab[a/1000];

b=a%1000;

sdataB[3]=ADVAL[3]=number_tab[b/100];

c=b%100;

sdataB[4]=ADVAL[4]=number_tab[c/10];

d=c%10;

sdataB[5]=ADVAL[5]=number_tab[d/1];

sdataB[6]=0x30;

sdataB[7]=0x30;

pc[1]='.';

pc[2]=ADVAL[2];

pc[3]=ADVAL[3];

pc[4]=ADVAL[4];

pc[5]=ADVAL[5];

pc[6]='\0';

}

if(0x01==set_or_ad)

{

sdataB[8]=0x42;

sdataB[9]=ADVAL[0]=number_tab[intdata/10000]; a=intdata%10000;

}

{

ADVAL[4]=number_tab[c/10];

d=c%10;

ADVAL[5]=number_tab[d/1];

pc[0]=ADVAL[0];

pc[1]='.';

pc[2]=ADVAL[2];

pc[3]=ADVAL[3];

pc[4]=ADVAL[4];

pc[5]=ADVAL[5];

pc[6]='\0';

}

//**************************************************** unsignedintDA_OUT(void)

{floatvol_const=3.27675;

floatkey_voltage;

unsignedintkey_vol;

key_voltage=(float)key;

key_voltage*=vol_const;

spi_data=key_voltage;

}

voiddelay_ad(void)

{

unsignedinti,j;

{

}

}

{

{

}

{

}

delay_ad();

return(da);

}

voidAD_SUM_AVG(void)

{

unsignedinti=0;

floataF=0.9;

staticfloatSample_AVG_fst=0.0;

Sample=0;

for(i=0;i

SampleTable[i]=ads8320();

Sample=Sample+SampleTable[i];

}

Sample_AVG=Sample/AVG;

Sample_AVG=(1-aF)*Sample_AVG+aF*Sample_AVG_fst;

Sample_AD=Sample_AVG*0.327675;

Sample_AVG_fst=Sample_AVG;

}

voidmain(void)

{

InitSysCtrl(); //初始化系统

//初始化系统时钟,系统时钟150M,低俗时钟37.5M,打开外设时钟SPI控制37.5M

//

init();//初始化液晶显示

//****************************************************

EALLOW; //ThisisneededtowritetoEALLOWprotectedregisters

PieVectTable.RXBINT=&scibRxFifoIsr;

PieVectTable.TXBINT=&scibTxFifoIsr;

EDIS;//ThisisneededtodisablewritetoEALLOWprotectedregisters

//****************************************************

scib_fifo_init();//InitSCI-B

spi_fifo_init(); //InitializetheSpiFIFO

spi_init(); //initSPI

//****************************************************

//****************************************************

EINT;

//****************************************************

EALLOW;

EDIS;

//****************************************************

EALLOW;

PieVectTable.TINT0=&cpu_timer0_isr;//将CPU定时器0的中断服务程序入口地址写到PIE向量表中

EDIS;

InitCpuTimers();

ConfigCpuTimer(&CpuTimer0,150,250000);

for(i=0;i<500;i++)

{

delay(5000);

}

}

}

interruptvoidcpu_timer0_isr(void)

{staticcharkey4=0x00;

if((key_value&BIT3)==BIT3)

{

key4=key4^0x01;

if(((key_value&BIT0)==BIT0)&&(key4==0x01))//输入减1 {

if(key==0x0000)

{key=0x0000;}

else

key--;

}

if(((key_value&BIT1)==BIT1)&&(key4==0x01))//输入加1 {

if(key==0x4E20)

{key=0x4E20;}

else

{

{

case3:

char_string(baud_disp3,LCD_L36);

ScibRegs.SCIHBAUD=SCI_PRD[6];

ScibRegs.SCILBAUD=SCI_PRD[7];

break;//显示波特率38400

case4:

ScibRegs.SCIHBAUD=SCI_PRD[8];

ScibRegs.SCILBAUD=SCI_PRD[9];

char_string(baud_disp4,LCD_L36);

break;//显示波特率56000

case5:

ScibRegs.SCILBAUD=SCI_PRD[11];

char_string(baud_disp5,LCD_L36);

break;//显示波特率57600

case6:

ScibRegs.SCIHBAUD=SCI_PRD[12]; ScibRegs.SCILBAUD=SCI_PRD[13];

char_string(baud_disp6,LCD_L36);

break;//显示波特率115200

}

}

}

interruptvoidscibTxFifoIsr(void)

{

{

}

{

}

}

{

}

{

//asyncmode,idle-lineprotocol

//DisableRXERR,SLEEP,TXWAKE ScibRegs.SCIHBAUD=SCI_PRD[0]; ScibRegs.SCILBAUD=SCI_PRD[1];

}

voidspi_init()

{

000F; //Reseton,risingedge,16-bitcharbits //enabletalk,andSPIintdisabled. EALLOW;

009F; //RelinquishSPIfromReset

}

voidspi_xmit(Uint16 a)

{

SpiaRegs.SPITXBUF=a;

}

voidspi_fifo_init()

{

//InitializeSPIFIFOregisters

204f;

}

//===========================================================================

电子设计大赛报告.doc

自动搬运机器人 王泽栋1 曹嘉隆1 高召晗1 杨超2 (1.电子信息工程系学生,2.电子信息工程系教师) 【摘要】 本设计与实作是利用反射式红外线传感器所检测到我们所要跑的路线,我们以前后车头共4颗红外感应传感器TCRT5000来检测黑色路线,并利用Atmel 公司生产的8位单片机AT89S52单片机做决策分析。,将控制结果输出至直流电机让车体自行按预先设计好的路线行走。以AT89S52晶片控制自动搬运机器人的行径,藉由自动搬运的制作过程学习如何透过程式化控制流程、方法与策略、利用汇编语言控制电机停止及正反转,使自动搬运机器人能够沿轨道自行前进、后退以及转弯。目的是在于让车子达到最佳效能之后,参加比赛为最终目的。自动搬运机器人运行过程中会遇到直线、弯道、停止。该设计集检测,微控等技术为一体,运用了数电、模电和小系统设计技术。该设计具有一定的可移植性,能应用于一些高难度作业环境中。 【关键词】自动搬运;黑线检测;时间显示。 1.系统方案选择和论证 1.1 系统基本方案 根据要求,此设计主要分为控制部分和检测部分,还添加了一些电路作为系统的扩展功能,有电动车每一次往返的时间(记录显示装置需安装在机器人上)和总的行驶时间的显示。系统中控制部分包括控制器模块、显示模块及电动机驱动模块。信号检测部分包括黑线检测模块。系统方框图如图1.1.1 图1.1 系统方框图 1.2各模块方案的比较与论证 (1)控制器模块 根据设计要求,控制器主要用于信号的接收和辨认控制电机的正反转、小车的到达直角转弯处的转向、时间显示。 方案一:采用MCS-51系列单片机价格低、体积小、控制能力强。 方案二:采用与51系列单片机兼容的Atmel公司的AT89S52作为控制器件

2007全国电子设计大赛E题获奖论文报告

题目:开关稳压电源(E题) 摘要 本设计综合考虑题目基本部分和发挥部分的指标要求,系统采用简单的boost 升压电路作为DC-DC变换器主电路;PWM控制器采用低压型专用集成芯片UC3843; 主开关管采用IRF540;由内置12位A/D、D/A的高性能、低功耗单片机C8051F021组成系统测控与显示单元,采用液晶显示器作为系统的状态和运行数据显示屏。通过实际测试,作品的性能指标中,输出纹波完全达到了要求;电压调整率,整体效率,负载过流故障排除后自恢复功能,输出电压键控1V步进,电流、电压实时测量及数显功能等几项指标达均到了发挥部分要求;负载调整率也接近发挥部分指标要求。另外,系统还增加了实时输出功率数据显示和负载过流状态下的声、光报警等实用功能。

一、引言 为了满足题目发挥部分规定的电压调整率、负载调整率以及效率等几项指标要求,我们在设计中主要是尽量减少辅助控制电路的损耗。通过单片机和脉宽调制电路来稳定输出电压,并通过单片机的控制实现对整个电路的过流保护功能,排除过流故障后,电源能自动恢复为正常工作状态。同时,当输出电压与设定电压误差较大时,单片机能对输出电压进行一定调节,以提高负载调整率;通过单片机实现了输出电压的键盘设定和步进调整(步进为1V)。系统具有测量和数字显示输出电压、电流的功能。此外,还增加了实时输出功率测量与显示、在输出过流的时候系统发出声、光报警信号等功能。 二、方案论证与比较 1.DC-DC主回路拓扑方案论证 方案一:采用变压器升压的隔离型PWM直流-直流变换器电路,此电路效率较低,开关辐射/纹波较大,电路较复杂。 方案二:采用非隔离型BOOST升压电路,控制电路用专用集成芯片UC3843A,这种电路使用的外部原件最少、调试容易、成本低、效率高。因此,采用此种方案。 2. 控制方法及实现方案 方案一:采用电压型脉宽调制技术,产生频率固定,脉冲宽度可调整的方波脉冲,采用电压反馈环控制系统,它的反馈信息取自输出电压,用反馈电压调整控制器的输出脉冲宽度,改变脉冲占空比,实现开关电源的稳定。 方案二:采用电流型脉宽调制芯片,此技术与传统的仅有输出电压反馈的PWM系统相比增加了一个电感电流反馈。此反馈就做为PWM的斜坡函数,就不再需要锯齿波发生器,更重要的是使用电感电流反馈使系统的可靠性有了明显的改善,经比较具有如下优点: 1)使系统具有快速的瞬态响应及高速的稳定性。 2)输出电压精度很高。 3)具有内在的对功率开关管电流的控制及限流能力。 4)具有良好的并联运行能力。 可以看出方案二的控制性能明显优于方案一,所以采用方案二。 3. 提高效率的方法及实现方案 单片机系统及其它辅助电路的功耗对电源的整体效率有很大的影响。所以选用一款功耗低的单片机作为控制与显示单元电路。采用效率高、开关速度快、损耗小的MOS场效应管作为主开关管。选用快速、低损耗的肖特基二极管作为输出

全国大学生电子设计竞赛文档模板

题目 摘要:……(左顶格小四宋体)摘要是概括地总结论文的内容。一般写摘要应考虑必须自成系统,并尽量提供更多的信息。摘要应包括下列内容: A.简明扼要地说明课题研究的主要意义和目的; B.作者做了哪些工作; C.如何做的(采用的方案); D.主要结果和结果的意义。 摘要应避免写成正文小标题的罗列,应具有独立性和自含性,并采用第三人称表述,一般以300字内为宜。 关键词:…;…;…(3~5个) 关键词是反映文章主题内容的名词和术语,应尽量从汉语主题词表中选取,第一关键词应能体现出文章的学科分类 TOPIC Abstract: Keywords:…;…;… 设计报告的密封方法:按页码顺序整理好并装订,第一页为设计题目、400字以内的中文设计摘要及对应的英文摘要,并将“设计报告封纸”在距设计报告上端约2厘米处装订,然后将参赛队的代码(代码由赛区组委会统一编制,在发放题目时通知各参赛队)写在设计报告密封纸的最上方。设计报告装订好后将密封纸掀起并折向报告背后,最后用胶水在后面粘牢。 竞赛组委会设计报告格式要求:设计报告每页上方必须留出3厘米以上空白,空白内不得书写任何内容,每页下端注明页码,如需绘图,应尽量绘制在报告纸上;如采用别的方式绘制,则应将图纸剪下,粘贴在报告纸的相应位置上;如有计算机打印的程序,也要粘贴在报告纸的相应位置上。 报告正文前需附一篇400字以内的中文摘要及对应英文摘要。

一、XXXX方案设计与论证 (考虑过的各方案框图、简要原理和优缺点以及所选方案之理由等)。 文内标题力求简短、明确,各层标题均单独占行书写,一级标题:三号黑体,居中;二级标题:小三幼园,空两格书写序数,空一格书写标题;第三级标题:四号宋体,空两格书写序数,空一格书写标题;四级标题:四号华文新魏,空两格书写序数,空一格书写标题。五级建议采用:小四宋体,(1),(2);、六级建议采用:小四宋体,①、②、③。 汉字“一、二、三、……”作为序号时,其后应用顿号,即“一、” 正文:小四号宋体;均缩进2个字符(全角)。 行间距采用1.25倍行距,段前0.5行、段后0.0行。 页码在页面下方,居中。 纸型:A4 页边距:上:3cm ,下:2.54cm,左:3.17cm,右3.17cm 1 XXX方案 1.1 XXXXXX 1.1.1 XXXXXX 图号、图名,五号加粗宋体,图号图名间空1个字符,段前2磅、段后8磅,图下居中。图居中,图中文字:5号宋体,段前0行、段后0行,单倍行距。图序以阿拉伯数字连续编号,仅有1图者于图题处标明“图1”;图需卧排时,应顶左底右。 图5.7.2 PCA定时/计数器和比较/捕获单元 表格应尽可能采用三线表。表格应有表序和表题。序号和表题居中排于表格上方,两者之间空1字。表序以阿拉伯数字连续编号,仅有1表者,于表题处标

2017全国大学生电子设计竞赛设计报告

2017年全国大学生电子设计竞赛简易水情检测系统(P题) 2017年8月12日

摘要 本设计的是简易水情检测系统以STC89C52芯片为核心,辅以相关的外围电路,设计了以单片机为核心的水情检测系统。系统主要由5V电源供电。在硬件电路上在,用总线连接PH值传感器和水位传感器,通过传感器收集到的水情数据发送到单片机,单片机存储实时数据,并显示在12864LCD液晶屏上。在软件方面,采用C语言编程。通过对单片机程序设计实现对水情检测系统的水情数据的采集、显示和检测。 关键词:单片机最小系统;PH值传感器;水位传感器;AD模块 Abstract The design is a simple water regime detection system to STC89C52 chip as the core, supplemented by the relevant external circuit, designed to single-chip as the core of the water regime detection system. The system is powered by 5V power supply. In the hardware circuit, with the bus connection PH sensor and water level sensor, through the sensor to collect the water data sent to the microcontroller, single-chip storage of real-time data, and displayed on the 12864LCD LCD screen. In software, the use of C language programming. Through the single-chip program design to achieve the water regime detection system of water data collection, display and detection. Key words:single chip minimum system; PH value sensor; water level sensor; capacitance

全国电子设计大赛资料报告材料一等奖

2013年全国大学生电子设计竞赛 简易旋转倒立摆及控制装置(C题) 【本科组】 摘要: 通过对该测控系统结构和特点的分析,结合现代控制技术设计理念实现了以微控制器MC9S12XS128系列单片机为核心的旋转倒立摆控制系统。通过采集的角度值与平衡位置进行比较,使用PD算法,从而达到控制电机的目的。其工作过程为:角位移传感器WDS35D通过对摆杆摆动过程中的信号采集然后经过A/D 采样后反馈给主控制器。控制器根据角度传感器反馈信号进行PID数据处理,从而对电机的转动做出调整,进行可靠的闭环控制,使用按键调节P、D的值,同时由显示模块显示当前的P、D值。 关键字: 倒立摆、直流电机、MC9S12XS128单片机、角位移传感器WDS35D、PD算法

目录 一、设计任务与要求 (3) 1 设计任务 (3) 2 设计要求 (3) 二系统方案 (4) 1 系统结构 (4) 2 方案比较与选择 (4) (1)角度传感器方案比较与选择 (4) (2)驱动器方案比较与选择 (5) 三理论分析与计算 (5) 1 电机的选型 (5) 2 摆杆状态检测 (5) 3 驱动与控制算法 (5) 四电路与程序设计 (6) 1 电路设计 (6) (1)最小系统模块电路 (6) (2)5110显示模块电路设计 (7) (3)电机驱动模块电路设计 (8) (4)角位移传感器模块电路设计 (8) (5)电源稳压模块设计 (8) 2 程序结构与设计 (9) 五系统测试与误差分析 (10) 5.1 测试方案 (10) 5.2 测试使用仪器 (10) 5.3 测试结果与误差分析 (10) 6 结论 (11) 参考文献 (11) 附录1 程序清单(部分) (12) 附录2 主板电路图 (15) 附录3 主要元器件清单 (16)

电子设计竞赛论文要点

程控增益放大器(B题) 程控增益放大器 摘要:本设计采用带通滤波器来选择输入信号带宽滤除杂质。以工作稳定、性能指标较高的STC89C52RC单片机作为微控制器核心来控制选择DDS模块的信号输出、放大器步进选择以及液晶显示。用两个AD603为放大电路核心组成级联放大电路,通过单片机控制DAC0832将数字量转化为模拟量来进行程控放大,提高了放大增益、扩展了通频带宽、而且具有良好的抗噪声系数。放大器带宽可以预置并显示,经测试本设计基本满足题目要求。 关键词:STC89C52RC AD603 程控放大器 AD9850 带通滤波 目录 1、引言: 1 2、方案设计: 1 2.1 总方案框图 1 2.2 DDS模块选择 1 2.3 滤波电路的选择 2 2.4 增益控制部分,放大器的选择 2 3、设计实现: 2

3.1 硬件设计 2 3.1.1 最小系统设计 3 3.1.2 滤波电路 3 3.1.4 放大电路 3 3.1.5 数模转换,电压输出电路 4 3.2软件设计 4 4、测试: 5 4.1、测试方法 5 4.2、测试条件 5 4.3、测试仪器 5 4.4、测试结果 6 5、结论及体会: 6 5.1 结论 6 5.2 体会 6 参考文献: 7 附录一: 8 1 最小系统和按键模块电路原理图 8

2 滤波电路原理图 8 3 自制DDS模块及其外围电路系统原理图 9 4 增益控制电路原理图 10 5 DAC8032数模转换电路图 11 附录二:主要源程序 12 1、引言: 放大器是电子系统中最基本的单元电路,放大器的增益又是其中一个重要的性能参数,随着电路控制的日益精细,对放大器增益的控制和调整也变得越来越细致。程控增益放大器与普通放大器的差别在于反馈电阻网络可变且受控于控制接口的输出信号。不同的控制信号,将产生不同的反馈系数,从而改变放大器的闭环增益。通过单片机用程序来控制放大的增益,通过键盘输入放大倍数,再利用单片机输出相应的数字信号,然后通过DA变换,换成模拟电压信号,使用这个电压信号来控制放大器的放大倍数,实现了程控增益放大。在灵活性方便性上远远优于传统的放大器。 2、方案设计: 2.1 总方案框图 Ui 本系统原理方框图如图2.1所示。本系统由DDS模块、51单片机、滤波电路、键

全国大学生电子设计大赛题一等奖数字频率计

2015 年全国大学生电子设计竞赛 全国一等奖作品 设计报告部分错误未修正,软 件部分未添加 竞赛选题:数字频率计(F 题)

摘要 本设计选用FPGA 作为数据处理与系统控制的核心,制作了一款超高精度的数字频率计,其优点在于采用了自动增益控制电路(AGC)和等精度测量法,全部电路使用PCB 制版,进一步减小误差。 AGC 电路可将不同频率、不同幅度的待测信号,放大至基本相同的幅度,且高于后级滞回比较器的窗口电压,有效解决了待测信号输入电压变化大、频率范围广的问题。频率等参数的测量采用闸门时间为1s 的等精度测量法。闸门时间与待测信号同步,避免了对被测信号计数所产生±1 个字的误差,有效提高了系统精度。 经过实测,本设计达到了赛题基本部分和发挥部分的全部指标,并在部分指标上远超赛题发挥部分要求。 关键词:FPGA 自动增益控制等精度测量法

目录

1. 系统方案 1.1. 方案比较与选择 宽带通道放大器 方案一:OPA690 固定增益直接放大。由于待测信号频率范围广,电压范围大,所以选用宽带运算放大器OPA690,5V 双电源供电,对所有待测信号进行较大倍数的固定增益。对于输入的正弦波信号,经过OPA690 的固定增益,小信号得到放大,大信号削顶失真,所以均可达到后级滞回比较器电路的窗口电压。 方案二:基于VCA810 的自动增益控制(AGC)。AGC 电路实时调整高带宽压控运算放大器VCA810 的增益控制电压,通过负反馈使得放大后的信号幅度基本保持恒定。 尽管方案一中的OPA690 是高速放大器,但是单级增益仅能满足本题基本部分的要求,而在放大高频段的小信号时,增益带宽积的限制使得该方案无法达到发挥部分在频率和幅度上的要求。 方案二中采用VCA810 与OPA690 级联放大,并通过外围负反馈电路实现自动增益控制。该方案不仅能够实现稳定可调的输出电压,而且可以解决高频小信号单级放大时的带宽问题。因此,采用基于VCA810 的自动增益控制方案。 正弦波整形电路 方案一:采用分立器件搭建整形电路。由于分立器件电路存在着结构复杂、设计难度大等诸多缺点,因此不采用该方案。 方案二:采用集成比较器运放。常用的电压比较器运放LM339 的响应时间为1300ns,远远无法达到发挥部分100MHz 的频率要求。因此,采用响应时间为4.5ns 的高速比较器运放TLV3501。 主控电路 方案一:采用诸如MSP430、STM32 等传统单片机作为主控芯片。单片机在现实中与FPGA 连接,建立并口通信,完成命令与数据的传输。 方案二:在FPGA 内部利用逻辑单元搭建片内单片机Avalon,在片内将单片机和测量参数的数字电路系统连接,不连接外部接线。 在硬件电路上,用FPGA 片内单片机,除了输入和输出显示等少数电路外,其它大部分电路都可以集成在一片FPGA 芯片中,大大降低了电路的复杂程度、减小了体积、电路工作也更加可靠和稳定,速度也大为提高。且在数据传输上方便、简单,因此主控电路的选择采用方案二。

电子设计竞赛论文要点

程控增益放大器(B题)

程控增益放大器 摘要:本设计采用带通滤波器来选择输入信号带宽滤除杂质。以工作稳定、性能指标较高的STC89C52RC单片机作为微控制器核心来控制选择DDS模块的信号输出、放大器步进选择以及液晶显示。用两个AD603为放大电路核心组成级联放大电路,通过单片机控制DAC0832将数字量转化为模拟量来进行程控放大,提高了放大增益、扩展了通频带宽、而且具有良好的抗噪声系数。放大器带宽可以预置并显示,经测试本设计基本满足题目要求。 关键词:STC89C52RC AD603 程控放大器 AD9850 带通滤波

目录 1、引言: (1) 2、方案设计: (1) 2.1 总方案框图 (1) 2.2 DDS模块选择 (1) 2.3 滤波电路的选择 (2) 2.4 增益控制部分,放大器的选择 (2) 3、设计实现: (2) 3.1 硬件设计 (2) 3.1.1 最小系统设计 (3) 3.1.2 滤波电路 (3) 3.1.4 放大电路 (3) 3.1.5 数模转换,电压输出电路 (4) 3.2软件设计 (4) 4、测试: (5) 4.1、测试方法 (5) 4.2、测试条件 (5) 4.3、测试仪器 (5) 4.4、测试结果 (6) 5、结论及体会: (6) 5.1 结论 (6) 5.2 体会 (6) 参考文献: (7) 附录一: (8) 1 最小系统和按键模块电路原理图 (8) 2 滤波电路原理图 (8) 3 自制DDS模块及其外围电路系统原理图 (9) 4 增益控制电路原理图 (10) 5 DAC8032数模转换电路图 (11) 附录二:主要源程序 (12)

电子设计竞赛论文

1系统方案设计与论证 1.1设计要求 (1)设计一个可根据电源线的电参数信息分析用电器类别和工作状态的装置,电器电流范围 0.005A – 10.0A,用电器包括LED 灯、节能灯、USB 充电器(带负载)、无线路由器、机顶盒、电风扇、热水壶。 (2)可识别的电器工作状态总数不低于 7,电流不大于 50mA 的工作状态数不低于 5,同时显示所有可识别电器的工作状态。自定可识别的电器种类,包括一件最小电流电器和一件电流大于 8A 的电器,并完成其学习过程。 (3)实时指示用电器的工作状态并显示电源线上的电特征参数,响应时间不大于2s。特征参量包括电流和其他参量,自定义其他特征参量的种类、性质,数量自定。电器 的种类及其工作状态、参量种类可用序号表示。 (4)随机增减用电器或改变使用状态,能实时指示用电器的类别和状态。 (5)具有学习功能。清除作品存储的所有特征参数,重新测试并存储指定电器的特征参数。一种电器一种工作状态的学习时间不大于 1 分钟。 1.2设计基本思路 题目要求设计可根据电参数分析用电器类别的装置,区分用电器的方法可以是电流的 大小,电压电流的相位差。因此,装置采用ZMPT101B电压互感器、ZMCT103C电流 互感器采集电压电流信息,判断用电器类型,并经28027单片机程序控制在显示屏显示。该装置可以检测键盘的输入,处于学习、识别两种不同模式,存储信息的模块采 用AT24C64,存储用电器的信息。为完成便携终端信息的接收和提示,系统还加入蜂 鸣器和WIFI无线传输模块。 1.3系统框图 1.4方案比较与选择 (1)控制器 方案一:TMS320F28027是一种高效 32 位中央处理单元,具有分析和断点功能。可 以借助硬件进行实时调试。60MHz器件,3.3V 单电源集成型加电和欠压复位,两个内部 零引脚振荡器多达 22 个,复用通用输入输出 (GPIO) 引脚三个,32 位 CPU 定时器片载 闪存、SRAM、一次性可编程 (OTP) 内存。

2017年全国大学生电子设计竞赛

2017年全国大学生电子设计竞赛 管道内钢珠运动测量装置(M题) 【高职高专】

摘要: 系统以STC15W4K61S4单片机为主控器,设计一款管道内钢珠运动测量装置。该装置可以获取管道内钢珠滚动的方向,以及倒入管道内钢珠的个数和管道的倾斜角度。并通过LCD12864液晶显示屏实时显示钢珠滚动方向、个数以及管道的倾斜角度。系统包括单片机主控模块、角度信号采集模块、磁力传感器模块、显

示模块、电源模块、采用稳压输出电源为系统提供工作电源。系统制作成本较低、工作性能稳定,能很好达到设计要求。 关键词:角度传感器、磁性接近开关、LCD12864 目录 1设计任务与要求 (1) 1.1设计任务 (1) 1.2技术指标 (1) 1.3题目评析 (1)

2方案比较与选择 (2) 2.1单片机选择 (2) 2.2角度测量选择 (2) 2.3 钢珠运动检测选择 (2) 2.4显示选择 (2) 2.5电源选择 (2) 3电路系统与程序结构设计 (3) 3.1系统硬件总体设计 (3) 3.2单片机最小系统模块设计 (3) 3.3角度传感器模块设计 (3) 3.4 磁性传感器模块设计 (4) 3.5显示模块设计 (4) 3.6电源模块设计 (4) 3.7程序结构与设计 (5) 4系统测试 (5) 5总结 (6) 参考文献及附录 (6)

1设计任务与要求 1.1设计任务 设计并制作一个管道内钢珠运动测量装置,钢珠运动部分的结构如图1.1所示。 1.2技术指标 1.基本要求 规定传感器宽度 w≤20mm,传感器1和2之间的距离l 任意选择。 (1)按照图1.1所示放置管道,由A 端放入2~10粒钢珠,每粒钢珠放入的时 间间隔≤2s,要求装置能够显示放入钢珠的个数。 (2)分别将管道放置为A 端高于B 端或B 端高于A 端,从高端放入1粒钢 珠,要求能够显示钢珠的运动方向。 (3)按照图1.1所示放置管道,倾斜角ɑ为10o~80o之间的某一角度,由A 端放入1粒钢珠,要求装置能够显示倾斜角ɑ的角度值,测量误差的绝对≤3o。 2.发挥部分 设定传感器1和2之间的距离l 为20mm ,传感器1和2在管道外表面上安放的位置不限。 (1)将1粒钢珠放入管道内,堵住两端的管口,摆动管道,摆动周期≤1s , 摆动方式如图1.2所示,要求能够显示管道摆动的周期个数。 (2)按照图1.1所示放置管道,由A 端一次连续倒入2~10粒钢珠,要求装置 能够显示倒入钢珠的个数。 (4)其他。 3.设计报告。 1.3题目评析 根据设计要求,对题目评析如下: 本题的重点: ① 传感器灵敏度的选择。 ② 用于钢珠运动检测的传感器选择 图1.1:管道内钢珠运动测量装置的结构图 图1.2:管道摆动方式

全国电子设计大赛优秀报告

精心整理全国电子设计大赛训练项目 设计报告 题目数控通用直流电源 摘要 一、 1.1 1.2 1.3 1.4 二、 2.1系统总框图 (7) 2.2硬件设计 (7) 2.2.1开关稳压电源模块 (7) 2.2.2单片机控制模块 (8) 2.2.3正、负输出可调稳压电源模块 (9) 2.2.4按键模块 (10) 2.3软件设计 (10) 2.3.1主程序流程 (11) 2.3.2过流保护程序流程 (11) 三、测试、结果及分析 (12)

3.1基本功能 (12) 3.2发挥功能部分 (15) 四、总结 (15) 五、参考文献 (15) 附录一、完整的系统原理图 (16) 附录二、完整的系统PCB图 (17) 0.12V, 一、 设计并制作一个直流可调稳压电源。 二、设计要求 1.基本要求 ①用变压器输出的两组17.5V交流绕组,设计三组稳压电源,其中两组3V-15V可调,另一组固定输出+5V; ②各组输出电流最大:750mA; ③各组效率大于75%,在500mA输出条件下测量,应在DC/DC输入端预留电流测量端; ④为实现程序控制,预留MCU控制接口。 2.发挥部分 ①设置过流保护,保护定值为1.2A; ②用自动扫描代替人工按键,实现输出电压变化;

③扩展输出电压种类(比如三角波、梯形波等); ④可实现双电源同步调节或分别调节。 一、方案论证与比较 通过对题目的任务、要求进行分析,我们将整个设计划分成两个部分:稳压电源部分和数控部分。 1.1稳压电源部分方案比较 方案一:三端稳压电源 根据设计要求,可以采用三端稳压器来实现输出系统所需的三种直流电压:固定+5V和两组可调输出。其中,用7805实现固定5V的输出,LM317实现可调输出(控制输出电压为1.2~37V)。 电路原理图如下: 图1固定5V输出 7805是我们最常用到的稳压芯片了,它的使用方便,用很简单的电路即可以输入一个直流稳压电源,它的输出电压为5v。 图2LM317可调电源模块 在综合考虑LM317的输出电压范围1.25~37V和其最小稳定工作电流不大于5mA的条件下保证R1≤0.83KΩ,R2≤23.74KΩ,就能保证LM317稳压块在空载时能够稳定工作。输出电压:V O =1.25(1+R2/R1),在LM317输出范围为1.25~37V的条件下,R2/R1范围为:0~28.6。 优点:线性电源工作稳定,输出纹波小,且不需做过多调整,使用较为方便,工作安全可靠,适合制作通用型、标称输出的稳压电源。缺点:线性稳压电路的内部功耗大,效率低,散热问题较难解决。 方案二:晶体管串联式直流稳压电路 晶体管串联式直流稳压电路。电路框图如图3所示,该电路中,输出电压UO经取样电路取样后得到取样电压,取样电压与基准电压进行比较得到误差电压,该误差电压对调整管的工作状态进行调整,从而使输出电压发生变化,该变化与由于供电电压UI发生变化引起的输出电压的变化正好相反,从而保证输出电压UO为恒定值(稳压值)。 图3晶体管串联式直流稳压电路方框图 方案三:开关电源 根据设计要求,可选用开关电源来完成设计。LM2596为电路设计核心。 调整管 取样 误差放大 基准电压 辅助电源 UI UO

全国电子设计大赛论文-电源设计

一:方案论证 1.系统总体设计方案 根据题目要求,总体设计方案如下:将交流电220V送进隔离变压器,一级输出18V交流电。通过整流滤波,将交流电转为直流电,进行DC-DC升压和降压。副DC-DC实现的降压值为5V,用于给单片机控制系统供电。通过键盘可以对主DC-DC升压的输出电压进行设定和步进调整,并由AD对输出进行采样,通过在单片机内预置的算法对输出进行补偿调整,同时从液晶屏上数字显示出电流和电压值。当开关稳压电源输出电流达到上限时,启动过流保护;当故障排除后,开关电源恢复正常工作。系统总体框图如图1.1所示。 图1.1 系统总体框图 2.主DC-DC升压电路设计方案 DC-DC升压电路采用自举式升压方式,如图1.2所示,当晶体管导通时,电感与电源接地端直接相连,形成回路。随着能量存储到电感的磁场中,流过电感的电流斜线上升,磁力线增强。 当晶体管截止时,磁场开始消失。随着它的减弱,会切割电感的导线,产生一个电压。由于磁场的运动方向与磁场建立时的方向相反,所以感应电压反向。从而实现升压的过程。 晶体管截止时电流方向 图1.2 自举式主DC-DC回路拓扑图 3.控制方法及实现方案 对主DC-DC升压转换器的控制方法采用硬件闭环控制为主、软件补偿和测量相结合的方法对DC-DC的输出进行精确控制。硬件控制采用国家半导体公司的LM2587-ADJ开关电源控制芯片组成对输出主回路的电压闭环控制,实现对系统

的粗调。软件控制选用STC12C5412AD 单片机作为系统控制器,系统的显示、按 键、A/D 、D/A 全部集中在核心控制板上,通过预置算法实现对系统的精调。 4.提高效率的方法及实现方案 1.降低二极管的损耗:二极管一般需要0.7V 的导通电压降。在输出电压为 21.6V 时,二极管要消耗一定的输出功率。而肖特基二极管的导通压降一般为 0.2V ~0.3V ,因此使用这类二极管这能够有效降低其上的功率损耗。 2.降低开关管的损耗:如果将开关管设计在外围电路中,极易由于设计参数 的问题导致开关管部分时间工作在线性区,会引起一定损耗。在设计中,选用 LM2587,它将开关管集成到芯片内部,参数由厂家整定,可以大大减少功耗。 3.减少铜损:铜损是由导线的寄生电阻和电感线圈引起的。实际设计中,选 用横截面积大的铜丝,并采取多股缠绕的方法,减少单位横截面积电阻。 4.减少铁损:引起铁损的原因有两个——磁滞损耗和涡流损耗。在实际操作 中,采用EI 型电感磁芯,并在连接处留有一定空隙。由于存在空气间隙,使之 不易产生磁滞和涡流。 二:电路设计与参数计算 1.主回路器件的选择及参数计算 题目中要求:18V 交流输入时,经转换后输入电压为21.6V (理论计算得出), 负载端电压为30V~36V 。最大输出电流I omax 为2A ,主DC-DC 升压变换器效率 η≥70%(发挥部分要求达到η≥85%)。据此,在主DC-DC 升压回路中主要用来 实现DC-DC 变换器的器件为LM2587-ADJ 。LM2587-ADJ 内部有一个100kHz 的振荡器,内部开关电流额定值5A ,负载电压V load <65V ,输入电压需保持在 4V~40V ,变换器效率90%,理论上完全满足设计需求。 主DC-DC 回路电路图如图2.1所示,通过改变R 2和R 3的比值即可设定所需 负载电压值。 图2.1 主回路原理图 将反馈电压与内部参考电压1.23V 进行比较: V load =1.23V(1+32R R ) (2-1)

全国大学生电子设计竞赛论文模板

2017年全国大学生电子设计竞赛 XXXXXXXXXXXXX(X题) 【本科组】 2017年9月7日

摘要 本系统以飞思卡尔单片机MC9S12XS128作为主控制芯片,通过数字摄像头OV7620采集靶面图像,进行图像信息的处理,得到靶面上弹着点的位置信息,并在OLED上显示弹着点的环数、方位。同时为了方便摄像头的图像的校准,设计了激光三点定位装置。另外设计了以步进电机和直流减速电机驱动的二维激光头移动调节架,通过按键控制可实现激光点在靶面上的移动、自动中心打靶、定位打靶。 关键词:激光打靶单片机数字摄像头步进电机 Abstract This system adopts the Freescale MCU(MC9S12XS128) as the core processing chip, target surface image are gained by the digital camera OV7620, the spot position information on the target is got after the image information processing, the ring number and location are displayed on the OLED. At the same time , in order to facilitate the image of the calibration of cameras, the laser at 3 o 'clock positioning device is designed. In addition , step motor and DC gear motor are designed to drive 2D position control frame, it can be realized through the key control that the laser spot on the target mobile, automatic target and hit the bull 's-eye, automatic positioning. Key words: laser-shooting microcomputer digital camera step motor 电子设计大赛论文报告格式 **设计报告内容: 1.封面:单独1页(见样件) 2.摘要、关键词:中文(150~200字)、英文;单独1页 3.目录:内容必要对应页码号 4.设计报告正文: 一、前言: 二、总体方案设计: 包括方案比较、方案论证、方案选择 (以方框图的形式给出各方案,并简要说明) 三、单元模块设计:

2019年全国大学生电子设计竞赛综合测评题

2019 年全国大学生电子设计竞赛综合测评题 综合测评注意事项 (1)综合测评于2019 年8 月19 日8:00 正式开始,8 月19 日15 :00 结束。 (2)本科组和高职高专组优秀参赛队共用此题。 (3)综合测评以队为单位采用全封闭方式进行,现场不能上网、不能使用手机。 (4)综合测评结束时,制作的实物及《综合测评测试记录与评分表》由全国专家组委派的专家封存, 交赛区保管。 多信号发生器 使用题目制定综合测评板上的一片LM324AD(四运放)和一片SN74LS00D(四与非门)芯片设计制作一个多路信号发生器,如下图所示。 设计报告应给出方案设计、详细电路图、参数计算和现场自测数据波形(一律手写),综合测评板 编号及 3 个参赛同学签字需在密封线内,限 2 页,与综合测评板一同上交。 u o1 u o2 多信号发生器u o3 1kΩ 19kHz-21kHz (含LM324AD 四运放,U o41kΩ 负载 1kΩ 负载 负载 +5V SN74LS00D四与非门) 1kΩ 负载 U o1————方波 U o2————占空比连续可调窄脉冲 U o3————正弦波 U o4————余弦波 一.约束条件 1. 一片SN74L.S0OD四与非门芯片(综合测评板上自带); 2. 一片LM324AD四运算放大器芯片(综合测评板上自带); 3. 赛区提供固定电阻、固定电容、可变电阻元件(数量不限、参数不限); 4. 赛区提供直流电源。 二.设计任务及指标要求 利用综合测评板和若干电阻、电容元件,设计制作电路产生下列四路信号: 1. 频率为19kHz~2IkHz 连续可调的方波脉冲信号,幅度不小于 3.2V; 2. 与方波同频率的正弦波信号,输出电压失真度不大于5%,峰-峰值(Vpp)不小于1V; 3. 与方波同频率占空比5%~15%连续可调的窄脉冲信号,幅度不小于 3.2V;

全国大学生电子设计竞赛综合测评题论文报告

放大器的应用 [摘要]集成运放裨上是一种高增益直流放大、直流放大器既能放大变化极其缓慢的直流信号,下限频率可到零;又能放大交流信号,上限频率与普通放大器一样,受限于电路中的电容或电感等电抗性元器件。集成运放和外部反馈网络相配置后,能够在它的输出和输入之间建立起种种特定的函数关系,故而称它为“运算”放大器。 本课程设计的基本目标:使用一片通用四运放芯片LM324组成预设的电路,电路包括三角波产生器、加法器、滤波器、比较器四个设计模块,每个模块均采用一个运放及一定数目的电容、电阻搭建,通过理论计算分析,最终实现规定的电路要求。 [关键词]运算放大器LM324、加法器、滤波器、比较器 目录 一、设计任务 (2) 二、设计方案及比较 (2) 1. 三角波产生器 (2) 2. 加法器 (2) 3. 滤波器 (3) 4. 比较器 (3) 三、电路设计及理论分析 (3) 四、电路仿真结果及分析 (4) 1. U端口 (4) 1o 2. U端口 (4) 1i 3. U端口 (4) 2i 4. U端口 (4) 2 o 5. U端口 (4) 3o 五、总结 (4)

一、设计任务 使用一片通用四运放芯片LM324 组成电路框图见图1(a ),实现下述功能: 使用低频信号源产生Hz f V t f u i 500)(2sin 1.0001==π的正弦波信号, 加至加法器的输入端,加法器的另一输入端加入由自制振荡器产生的信号1o u ,1o u 如图1(b )所示,1T =0.5ms ,允许1T 有±5%的误差。 图中要求加法器的输出电压11210o i i u u u +=。2i u 经选频滤波器滤除1o u 频率分量,选出0f 信号为2o u ,2o u 为峰峰值等于9V 的正弦信号,用示波器观察无明显失真。2o u 信号再经比较器后在1k Ω 负载上得到峰峰值为2V 的输出电压3o u 。 电源只能选用+12V 和+5V 两种单电源,由稳压电源供给。不得使用额外电源和其它型号运算放大器。 要求预留1i u 、2i u 、2o u 、2o u 和3o u 的测试端子。 二、设计方案及比较 设计有五个部分,其中低频信号源使用信号发生器,其余四部分设计方案如下: 1.三角波产生器 初始方案: 根据《模拟电子技术基础》书上的方波发生器产生方波,然后再采用微分电路对信号处理,输出即为三角波。 图1.1 图中:R 1 = 6.8k ?,R 2 = 10k ?,R 3 = 30k ?,R 0 = 3.9k ?,R 4 = 10k ?,R 5 = 20k ?,C = 0.1?F , D Z1和D Z2采用稳压管。 运算放大器A 1与R 1、R 2、R 3及R 0、D Z1、D Z2组成电压比较器。当积分器的输入为方波时,输出是一个上升速率与下降速率相等的三角波,比较器与积分器首尾相连形成闭环电路,能自动产生方波与三角波。三角波(或方波)的频率为: 改进方案: 由于LM324只有四个运算放大器,如果三角波产生使用两个,则后面的三个电路中有一个无法实现,所以只能采用一个运算放大器产生。同时由于器件不提供稳压二极管,所以电阻电容的参数必须设计合理,用直流电压源代替稳压管。 对方波放生电路进行分析发现,如果将输出端改接运放的负输入端,出来的波形近似为三角波。设计电路如图1.2 图1.2 2.加法器 方案: 由于加法器输出11210o i i u u u +=,所以采用求和运算电路,计算电阻电容的参数值,电路

全国大学生电子设计大赛报告

题目名称:开关电源模块并联供电系统(A 题) 摘要 开关电源模块并联供电系统是采用8位Atmega88的开关电源,主电路采用LM2576和LM2596作为两块并联的开关电源。LM2576作为恒压源,LM2596作为恒流源。该两块开关电源保证系统的效率,电流电压调整率和输出精度要求。系统具有限流保护功能,HD7279键盘输入输出等多种功能。该系统主要采用硬件反馈调节,调整能力强,使单片机负载小。 本系统功能完善,在支路在0.5-2A输出范围内,干路电流输出范围使1-4A其分压比由外界输入。由AD采用,读出干路电流,经数字电位器调整恒流源工作状态,使其自调整实现固定分压比,并且电流精度满足在百分之五以内。关机或过流保护收后,具有可以记忆参数、自恢复功能。 Abstract Switching power supply modules in parallel power supply system is the use of 8-bit Atmega88 switching power supply, the main circuit LM2576 and LM2596 as two parallel switching power supply. LM2576 as the voltage source, LM2596 as a constant current source. The two switching power supply to ensure efficiency of the system, current and output voltage regulation accuracy requirements. System has a current limit protection, HD7279 keyboard input and output functions. The system uses hardware feedback regulation, adjust the ability to make a small single-chip load. The system is functional, the branch in the output range of 0.5-2A, distributors current output range 1-4A the partial pressure than by the external input. Used by the AD, to read out the current trunk, the digital potentiometer to adjust the current source working condition, to self-adjust to achieve a fixed partial pressure ratio, and accuracy to meet the current five percent or less. After closing down or over-current protection, with memory parameters can be, since the recovery.

全国电子设计大赛一等奖论文

题目名称:音频信号分析仪(A题) 华南理工大学电子与信息学院参赛队员:陈旭张洋林士明 摘要:本音频信号分析仪由32位MCU为主控制器,通过AD转换,对音频信号进行采样,把连续信号离散化,然后通过FFT快速傅氏变换运算,在时域和频域对音频信号各个频率分量以及功率等指标进行分析和处理,然后通过高分辨率的LCD对信号的频谱进行显示。该系统能够精确测量的音频信号频率范围为20Hz-10KHz,其幅度范围为5mVpp-5Vpp,分辨力分为20Hz和100Hz两档。测量功率精确度高达1%,并且能够准确的测量周期信号的周期,是理想的音频信号分析仪的解决方案。 关键词:FFT MCU 频谱功率 Abstract: The audio signal analyzer is based on a 32-bit MCU controller, through the AD converter for audio signal sampling, the continuous signal discrete, and then through the FFT fast Fourier transform computing, in the time domain and frequency domain of the various audio frequency signal weight and power, and other indicators for analysis and processing, and then through the high-resolution LCD display signals in the spectrum. The system can accurately measure the audio signal frequency range of 20 Hz-10KHz, the range of 5-5Vpp mVpp, resolution of 20 Hz and 100 Hz correspondent. Power measurement accuracy up to 1%, and be able to accurately measuring the periodic signal cycle is the ideal audio signal analyzer solution. Keyword:FFT MCU Spectrum Power

相关主题
文本预览
相关文档 最新文档