当前位置:文档之家› 数字电路课程教学大纲

数字电路课程教学大纲

数字电路课程教学大纲
数字电路课程教学大纲

《数字电路》课程教学大纲

课程编码:21110160

总学时:讲授/理论48学时,实验18学时

适用专业:通信工程

先修课程:高等数学、大学物理、电路分析、模拟电子线路

一、本课程地位、性质和任务

《数字电路》是通信工程专业的主干课程,是一门重要的专业技术基础课。《数字电路》与《模拟电子线路》一起,为理解现代电路结构、通信电子线路等硬件电路结构打下良好的基础。它不仅为《计算机组成原理与汇编程序设计》、《微机接口技术》、《计算机系统结构》、《数据通信与计算机网络》等后续课程提供必要的基础知识,而且是一门理论与实践结合密切的硬件电路基础课程。

二、课程教学的基本要求

本课程是通信工程专业的一门重要的专业基础课程,通过本课程的学习,使学生熟悉数字电路的基础理论知识,理解基本数字逻辑电路的工作原理,掌握数字逻辑电路的基本分析和设计方法,具有应用数字逻辑电路,初步解决数字逻辑问题的能力,为学习计算机硬件、通信电子线路打下扎实的基础。

三、课程学时分配、教学要求及主要内容

(一) 课程学时分配一览表

章节主要

内容

学时分配

第1章数字电路基础、各种数值及其之间的转

化;原码、反码、补码之间的关系;信

息编码。

4 4 第2章逻辑代数与逻辑函数:逻辑代数的公式、 4 4

规则;逻辑函数的几种表示方法及其相

互转化;逻辑函数的化简。

6 6 第3章TTL和MOS集成门电路的功能和电气

特性;各类常用基本门电路的逻辑符号

和逻辑功能;正逻辑与负逻辑。

8 8 第4章组合逻辑电路的分析和设计方法;常用

组合逻辑器件的原理和使用方法(编码

器、译码器、加法器、数据比较器、数

据选择器等);竞争和冒险。

6 6 第5章RS、JK、D、T触发器的工作原理及其

逻辑符号、触发方式及功能;以及各触

发器之间的相互转换关系、触发器的次

态方程。

8 8 第6章时序逻辑电路的分析和设计方法;常用

的时序逻辑器件的原理和使用(计数器、

寄存器、移位寄存器、脉冲发生器等)

4 4 第7章可编程逻辑器件的描述方法;CPLD、

FPGA的基本概念和原理,半导体存储

器(ROM和RAM)的电路结构和工作

原理。

4 4 第8章数/模、模/数转换电路的基本原理和典型

器件的使用。

4 4 第9章数字电路CAD技术及数字系统的设计:

数字电路EDA设计的方法;数字系统的

设计步骤及设计思想;数字系统设计举

例。

(二) 课程教学要求及主要内容

第1章数制与编码

教学目的和要求:本章介绍数制的概念、各种常用数制数的表示以及它们之间的转换;介绍真值与机器数、原码、反码、补码的概念,要求掌握三种码之间的转换、三种码进行数值运算时各自的优缺点以及运算方法;介绍信息编码的意义,掌握二进制码、循环码、标准ASCII码,认识循环码作为计数表示的优点、键盘各按键的ASCII码值。

教学重点和难点:带符号定点小数、整数的加减运算、ASCII码。

教学内容:

1.1 数制:十进制、二进制、八进制、十六进制(理解、熟练掌握)

1.2 数制的转换(理解、熟练掌握)

1.3 二进制数的运算(理解)

1.4 原码、反码、补码及其相互关系(理解)

1.5 编码:循环码、格雷码、BCD码、ASCII码(理解)

第2章逻辑代数与逻辑函数

教学目的和要求:本章是本课程的基础和重点章节,逻辑代数是分析和设计数字电路的数学工具,本章主要介绍逻辑代数的公式、定理及逻辑函数的化简方法,要求掌握常用进制及其转换,基本和常用逻辑运算,逻辑代数的公式、定理,逻辑函数的公式、图形化简法,逻辑函数的各种表示方法及相互之间的转换。

教学重点和难点:逻辑代数的公式、定理,逻辑函数的公式、逻辑函数的各种表示方法、卡诺图表示与化简规则、最小项与最大项表示方法。

教学内容:

2.1 逻辑代数的基本运算及符号:与、或、非(理解)

2.2 复合逻辑运算:与非、或非、与或非等(理解)

2.3 常用逻辑门(理解、熟练掌握)

2.4 逻辑代数的基本公式和3大运算规则(理解、熟练掌握)

2.5 逻辑函数的各种表示方法:真值表、代数法、卡诺图(理解)

2.6 逻辑函数的化简:代数法、卡诺图法(理解、熟练掌握)

第3章逻辑门电路

教学目的和要求:集成逻辑门是构成数字逻辑电路的基本单元,本章主要介绍MOS和TTL集成逻辑门的逻辑功能和电气特性。要求掌握高、低电平与正、负逻辑的概念,二极管、三极管、MOS管的开关特性,熟悉二极管与门和或门,三极管非门的电路结构及工作原理,掌握其电气特性和功能。掌握与门、或门、非门、与非门、或非门、与或非门、异或门、三态门、OC门、CMOS传输门的逻辑符号、逻辑功能,熟悉各种门电路的特点和使用方法。

教学重点和难点:逻辑关系的电路实现、TTL集成门电路的时间延时特性、电压传输特性、三态门及总线思想、OC门、TTL电路与MOS电路优缺点的比较。

教学内容:

3.1 TTL逻辑门电路:TTL与非门的电路结构、三态门、OC门(理解)

3.2 CMOS逻辑门电路:非门、与非门、或非门(理解)

3.3 集成逻辑门的主要参数:时间延时特性、电压传输特性等(理解)

3.4 正负逻辑的概念(理解)

第4章组合逻辑电路

教学目的和要求:本章是本课程的重点章节,本章主要介绍组合逻辑电路的分析和设计方法以及常用典型组合电路的功能、应用。要求掌握组合电路的特点、基本分析和设计方法。掌握编码器、译码器、数值比较器、数据分配器、数据选择器、加法器等常用组合电路的功能、应用及实现方法。熟悉典型中规模集成组合逻辑器件的功能及用中规模集成器件实现组合逻辑函数的方法,了解组合电路中的竞争冒险。

教学重点和难点:组合逻辑电路的分析和设计方法,常用中规模集成器件的功能和应用。

教学内容:

4.1 加法器:半加器和全加器的电路原理及加法器的扩展和应用(理解、熟练掌握)

4.2 比较器的原理、扩展及应用(理解、熟练掌握)

4.3 编码器的原理及扩展(理解、熟练掌握)

4.4 译码器:变量译码器及显示译码器(理解、熟练掌握)

4.5 数据选择的原理、扩展及应用(理解、熟练掌握)

4.6 组合逻辑电路的分析和设计、竞争和冒险(理解、掌握)

第5章触发器

教学目的和要求:本章主要介绍各类触发器的逻辑功能及触发公式,它是构成时序电路的基本单元,要求熟悉RS,JK,D,T触发器的电路结构、工作原理,掌握RS,JK,D,T触发器的逻辑符号、逻辑功能表示方法、触发方式及触发器间的相互转换。

教学重点和难点:各类触发器的逻辑功能及触发方式。

教学内容:

5.1 RS触发器的原理(理解)

5.2 集成JK、D、T(T’)触发器的原理(理解、熟练掌握)

5.3 各触发器之间的相互转化(理解)

第6章时序逻辑电路

教学目的和要求:本章是本课程的重点章节。本章主要介绍时序电路的分析和设计方法,以及计数器等常用典型时序电路的功能及应用。要求:掌握时序电路的特点、分类、功能描述方法,时序电路的基本分析和设计方法。熟悉计数器、寄存器、移位寄存器、顺序脉冲发生器的功能、应用。掌握同步、异步计数器的工作原理,常用中规模集成计数器的功能、应用以及用中规模集成计数器构成N 进制计数器的方法。

教学重点和难点:时序电路的分析和设计方法,计数器、寄存器的功能、分类,常用中规模集成计数器的功能、应用。

教学内容:

6.1 时序逻辑电路的描述方法:方程组、状态图、状态表(理解、掌握)

6.2 时序逻辑电路的分类(了解)

6.3 MSI计数器及其应用(理解、掌握)

6.4 MSI移位寄存器及其应用(理解、掌握)

6.5 时序逻辑电路的分析和设计方法(了解、掌握)

第7章可编程逻辑器件及半导体存储器

教学目的和要求:通过本章的学习,要求学生了解可编程逻辑器件的基本原理和结构;了解可编程逻辑器件(PLD)的基本结构及分类,PLA,PAL,GAL 的基本原理特点及应用;了解CPLD、FPGA数字逻辑器件的基本结构和原理;理解ROM、RAM存储器的内部结构,掌握RAM存储器的扩展方法。

教学重点和难点:半导体存储器的结构及容量扩展方法。

教学内容:

7.1 可编程逻辑器件概述(理解)

7.2 PLA,PAL,GAL的基本原理(了解)

7.3 CPLD、FPGA的基本结构(了解)

7.4 ROM的分类及其结构(理解)

7.5 RAM的一般结构及其容量的扩展(理解、熟练掌握)

第8章模数、数模转换电路

教学目的和要求:本章主要介绍D/A转换器和A/D转换器的基本原理,几种典型D/A,A/D转换器电路。要求熟悉D/A,A/D转换器的基本原理及倒T型电阻网络D/A转换器,逐次逼近型、双积分型A/D转换器的基本工作原理。

教学重点和难点:典型D/A,A/D转换器的基本工作原理。

教学内容:

8.1 数模转换的基本概念、常用的数模转换技术(理解、掌握)

8.2 模数转换的一般过程、常用的模数转换技术(理解、掌握)

第9章数字系统的分析与设计

教学目的和要求:本章是本课程的自学及提高章节,通过本章的学习,要求学生了解数字电路辅助设计技术;了解数字系统设计步骤及其设计思想。

教学重点和难点:数字系统的分析和设计方法、数字系统的设计步骤和思想。

教学内容:

9.1 数字系统设计的一般过程及系统的逻辑划分(了解)

9.2 数字系统的设计思想及其举例(理解、掌握)

9.3 EDA数字辅助设计的基本概念(了解)。

四、使用教材与参考书目

教材:

1.《数字电路与系统设计》(第二版),邓元庆、贾鹏编著,西安电子科技大学出版社,2008-05

参考书目:

1.《数字电路与逻辑设计》,子节涛编著,国防科技大学出版社

2.《数字电子技术基础》,阎石主编,高等教育出版社

3.《数字电子技术基本教程》,宋樟林等编著

4.《电子技术基础》(数字部分)康华光主编,高等教育出版社

五、实验要求与实验内容/课程实践环节基本要求

1. 实验紧密结合理论内容,相互提高。

2. 实验可分为基础性实验及提高性CPLD实验,提高性实验在CPLD实验箱上进行编程,验证组合、时序逻辑电路的逻辑特性。

六、教学方法的原则性建议

建议结合计算机系统结构、通信系统结构对本课程进行讲解,让学生从系统的角度进行学习,认识到各电路在系统中的应用和作用。

七、考核方式及成绩构成

本课程为考试课(闭卷考试),理论与实验分别考试,实验成绩占总成绩的20%,理论成绩占总成绩的80%,对于理论成绩,期中考试成绩及平时作业占总成绩的40%,期末记入该课程理论总成绩的60%。

八、必要的说明

1. 本大纲是为通信工程专业而编写,其它专业方向的可在此基础上对课程内容和课时以及要求程度的调整。

2. 当教材变动时,授课内容和课时根据实际情况做适当调整。

九、本大纲编写参照系、编写根据、编制人、审核人

编制人:林文忠、罗海波

修订时间:2010年7月

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数字电子技术教学大纲

电子技术应用专业数字电子技术模块教学大纲 一、说明: 1、大纲的编写依据:“国务院关于大力推进职业教育改革与发展的决定”, 结合“教育部关于加快发展职业教育的意见”;根据“以服务为宗旨、以就业为导向”的指导思想,结合学院开展模块式一体化教学改革的实际情况;编写了“电子技术”模块教学大纲。 2、模块的性质和内容:“数字电子技术”模块是电子技术专业的专业基础课程。其任务是使学生具备从事本专业职业工种必须的电子通用技术基本知识、基本方法、基本技能,并为学生学习后续课程,提高全面素质,形成综合职业能力打下基础。“数字电子技术基础”模块是电子技术专业的先导模块。本模块教学项目有三个: 项目一:“三人表决器的逻辑电路设计与制作”;项目二:“一位十进制加法计算器电路设计与制作”项目三:“数字钟的电路设计与制作”。 3、模块的任务和要求:项目一“三人表决器的逻辑电路设计与制作”。主要任务是:学习逻辑代数、逻辑函数基本知识;常用逻辑门电路;组合逻辑门电路的设计方法。具体要求:掌握TTL、CMOS的识别与测试;掌握三人表决器逻辑电路的设计方法和制作技巧。项目二:“一位十进制加法计算器的逻辑电路设计与制作”。主要任务是:学习数制及其转换的基本知识;学习编码器、译码器、选示器的基本知识;学习半加器与全加器的基本知识。具体要求:理解编码器、译码器、驱动示器逻辑电路的工作原理;掌握一位十进制加法计算器的逻辑电路设计与制

作。项目三:“数字钟的设计与制作”。主要任务是:学习触发器的基本知识;学习分频电路的结构与工作原理;学习二十四进制、六十进制计数器的组成工作原理。具体要求:掌握集成触发器的测试;掌握555振荡器的制作与测试;掌握数字钟的安装与调试。 4、教学方法的应用:本模块采用项目教学法,每一项目安排多个任务,任务中有学习目标、工作任务、知识链接点、实验实习、技能训练、思考与练习。教师使用操作示范、演示讲解、多媒体等多种教学方法,学生在做中学、学中做,学会模仿、讨论、评析、竞争,激发学生的学习兴趣和热情,以提高教学效果。 5、模块的适用范围及对教师的要求:本模块适应应用电子技术专业、教学条件已在教学方案中说明。教师应具备电类本科毕业或电类中级以上职称。实训操作需一名辅助教师作准备。 6、建议选用教材或讲义及参考资料 主要教材:建议选用讲义 参考资料:《新编电子技术项目教程》李传珊主编 电子工业出版社 二、学时分配表

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字电子技术教学大纲资料

一.本课程的教学目的、基本要求及其在教学计划中的地位: 数字电子技术基础课程是一门用以培养学生电子技术入门性质的技术基础课,本课程主要研究常用基本的半导体元器件的工作原理,基本的电子电路的原理和应用。通过课程的学习,使学生能够较好地掌握电子技术的基本理论、基本知识和基本分析问题的方法。其主要任务是培养学生: 1 .掌握电子技术课程的基本理论、基本知识和基本分析问题的方法。了解电子技术的新发展,新技术。 2 .正确掌握电子技术的课程内容,能够分析由几个单元电路组成的小电子电路系统。理论联系实际,具有创新精神。 3 .具有运用计算机分析和设计简单电子电路的能力,掌握用计算机分析电子电路的新方法。 4 .具有较强的实验能力,会使用常规的电子仪器,会通过实验安装调试电子电路,具有进行实验研究的初步能力。 5 .具有较强的查阅电子技术资料的能力和从网络上获取有关信息的能力。 数字电子技术基础课程是高等工科院校中电气信息类专业的一门必修课程,在教学过程中综合运用先修课程中所学到的有关知识与技能,结合各种实践教学环节,进行多种教学活动。为学生进一步学习有关专业课程和日后从事专业工作打下基础,因此本课程在后续课程中占有很重要的地位。 二.本课程的主要内容、各章节内容及其学时安排: 本课程的主要内容包括基本的半导体元器件、各种常用电子电路的工作原理和应用等内容。 第 1 章逻辑代数( 4 学时) 数字信号的特点、双值逻辑系统的概念。数字电路描述的数学工具——逻辑代数的运算定理和规则,以及逻辑函数的化简和变换等内容。 第 2 章集成逻辑门电路( 6 学时) TTL 和 COS 两大类型的逻辑门的工作原理、特性曲线和参数指标,对常用的几个系列逻辑门,以及集电极开路门和三态门作了较详细的讨论和比较。 第 3 章组合数字电路( 10 学时) 组合数字电路的分析和设计方法,译码器、编码器、数据选择器、比较器等常用组合数字电路的工作原理和应用。

数字电路教学计划和总结

教学任务 本学期担任数字电路的教学任务,为学生学习单片机技术奠定良好的基础,锻炼学生的逻辑思维能力,培养学生学会自学,加强课堂管理,培养学生的学习能力。 内容构成: 1.数制与编码 2.逻辑门电路 3.组合逻辑电路 4.触发器 5.时序逻辑电路 重点难点。 重点:逻辑门电路以及逻辑运算的规律 组合逻辑电路的分析和设计方法。 触发器的功能和基本应用 难点:时序逻辑电路的分析和设计 触发器的功能以及应用 特点:本学科的理论学习还是比较简单,主要是在第一章数字电路基础中做好课前引导,让学生理解数字电路的特点,以便以后学习更为快速。 教学工作措施: 1.加强学生的上课听课秩序,严厉管理课堂秩序。、 2.对于概念性知识点,多举例,多比方,让学生能直观地理解并加强记忆。 3.在逻辑运算中,更应该与数学运算相结合,并予以区别。 4.组合逻辑电路的分析设计举例应切近生活,利用日常生活中的例子。分析编 码译码器时, 可以以编辑和翻译为例子。、 5.触发器的讲解。应该做到综合型强,总结性好。以免给学生学习带来学习的 盲目感。 总结 本学期任13级《数字电子技术》课程的教育教学任务,在此之前,学生已经学习了《电工基础》和《模拟电路》,对于电子专业的总体发展不是很陌生,但对于《数字电路》的发展还是比较感兴趣,基于以上原因,根据理论知识的深浅度,教学设计的指导思想是:根据学生的原有知识水平,引导学生通过学生探究小组课前调查活动,充分利用现代信息技术手段,把模拟信号、数字信号这种抽象的事物在课堂上可视化,降低学生接受难度。在教学过程中,借助电路图作为工具,并通过实际举例和分析设计简单的逻辑电路,给学生自主建构的台阶,这样在完成知识构建的同时,扩展学生的知识视野,了解现代数字技术。4个班级的总体情况还是比较良好。在这里对本期教育教学进行简单的总结; 1、教学情况

数字电路课程设计报告

课程设计任务书 学生姓名:吴培力专业班级:信息SY1201 指导教师:刘可文工作单位:信息工程学院 题目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可以使用单 片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成 原理框图如图1,数字密码锁的实际锁体一般由电磁线圈、锁栓、 弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开 锁。反之则不开锁。 图1 数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、年月日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、年月日至年月日,方案选择和电路设计。 3、年月日至年月日,电路调试和设计说明书撰写。 4、年月日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数字电路课程设计弹道设计

淮海工学院 课程设计报告书 课程名称:电子技术课程设计(二)题目:弹道计时器设计 系(院): ////// 学期: 2010-2011-1 专业班级: 88 姓名: 999999 学号: 555555

一、所选课题: 弹道计时器的设计 二、任务与要求 设计一个用来测量手枪子弹等发射物速度的便携式电池供电计时器,这种计时器可用来测定子弹或其他发射物的速度。竞赛射手通常用这种设备来测定装备的性能。 基本操作要求是:射手在两个分别产生起始测量脉冲和终止测量脉冲的光敏传感器上方射出一个发射物,两个光传感器(本例中假定为阴影传感器)分开放置,两者之间的距离已知。发射物在两个传感器之间的飞行时间直接与发射物的速度成正比。如下图所示,当子弹等发射物从上方经过起始传感器产生ST 信号,经过终止传感器时产生SP 信号。传感器之间的距离是固定的。通过测量子弹等发射物经过传感器之间的时间T 就可计算出子弹的速度V=S/t 。 图1 三、方案制定 使用中规模集成电路设计弹道计时器。此方案中主要用到555定时器、十进制计数器、译码器、七段数码管以及一些小型门电路和触发器等。 四、弹道计时器的原理 运用中规模集成电路设计本课题要分为一下几点: (1)传感器对计数器的控制。 在传感器的选择上,要注意传感器的输出信号能否直接控制下一级电路。此论文中采用天幕靶控制计数器的工作与停止。天幕靶是一种光电传感器,它能将光信号转变成电信号,在子弹遮蔽第一个天幕靶时,即会产生一个脉冲,此脉冲带动计数器工作,在子弹遮蔽下一个天幕靶时又产生一个脉冲,让计数器停止工作。若将此脉冲作为使能信号, 就必须使其从子弹到达第一个天幕靶一直维持到 起始传感器 终止传感器 阳光 弹道

数字集成电路教学大纲

《数字集成电路》课程教学大纲 课程代码:060341001 课程英文名称:digital integrated circuits 课程总学时:48 讲课:44 实验:4 上机:0 适用专业:电子科学与技术 大纲编写(修订)时间:2017.05 一、大纲使用说明 (一)课程的地位及教学目标 数字集成电路是为电子科学与技术专业开设的学位课,该课程为必修专业课。课程主要讲授CMOS数字集成电路基本单元的结构、电气特性、时序和功耗特性,以及数字集成电路的设计与验证方法、EDA前端流程等。在讲授基本理论的同时,重在培养学生的设计思维以及解决实际问题的能力。通过本课程的学习,学生将达到以下要求: 1.掌握CMOS工艺下数字集成电路基本单元的功能、结构、特性; 2.掌握基于HDL设计建模与仿真、逻辑综合、时序分析;熟悉Spice模型; 3.具备将自然语言描述的问题转换为逻辑描述的能力; 4. 具有解决实际应用问题的能力。 (二)知识、能力及技能方面的基本要求 1.基本知识:CMOS数字集成电路设计方法与流程;CMOS逻辑器件的静态、动态特性和Spice 模型;数字集成电路的时序以及互连线问题;半导体存储器的种类与性能;数字集成电路低功耗解决方法以及输入输出电路;数字集成电路的仿真与逻辑综合。 2.基本理论和方法:在掌握静态和动态CMOS逻辑器件特性基础上,理解CMOS数字集成电路的特性和工作原理;掌握真值表、流程图/状态机、时序图的分析方法和逻辑设计的基本思想。 3.基本技能:掌握器件与系统的建模仿真方法;具备逻辑描述、逻辑与时序电路设计能力;熟悉电路验证与综合软件工具。 (三)实施说明 1.教学方法:课堂讲授中要重点对基础概念、基本方法和设计思路的讲解;采用启发式教学,培养学生思考问题、分析问题和解决问题的能力;引导和鼓励学生通过实践和自学获取知识,培养学生的自学能力;增加习题和讨论课,并在一定范围内学生讲解,调动学生学习的主观能动性;注意培养学生提高利用网络资源、参照设计规范及芯片手册等技术资料的能力。讲课要联系实际并注重培养学生的创新能力。 2.教学手段:本课程属于技术基础课,在教学中采用电子教案、CAI课件及多媒体教学系统等先进教学手段,以确保在有限的学时内,全面、高质量地完成课程教学任务。 3.计算机辅助设计:要求学生采用电路建模语言(SPICE/HDL)和仿真模拟工具软件进行电路分析与设计验证;采用逻辑综合工具软件进行电路综合;采用时序分析工具进行时序验证。(四)对先修课的要求 本课程主要的先修课程有:大学物理、电路、线性电子线路、脉冲与逻辑电路、EDA技术与FPGA应用、微机原理及应用,以及相关的课程实验、课程设计。 (五)对习题课、实践环节的要求 1.对重点、难点章节(如:MOS反相器静态特性/开关特性和体效应、组合与时序MOS电路、动态逻辑电路、数字集成电路建模与仿真验证、数字集成电路逻辑综合)应安排习题课,例题的选择以培养学生消化和巩固所学知识,用以解决实际问题为目的。 2.课后作业要少而精,内容要多样化,作业题内容必须包括基本概念、基本理论及分析设

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

数字电路课程教案

课时授课计划 - 1 课号:1 (共8学时理论6学时实验0学时习题2学时) 课题:第1章绪论 1.1 概述 1.2 数制和码制 目的与要求: 了解本门课程的基本内容; 了解数字电路的特点及应用、分类及学习方法; 掌握二、八、十、十六进制的表示方法及相互转换; 知道8421BCD码、余三码、格雷码的意义及表示方法。 重点与难点: 重点:数制与码制的表示方法; 难点:二、八、十六进制的转换。 教具: 课堂讨论: 离散信号; 二、十、八、十六进制的特点及表示方法; 码的作用; 8421BCD码的特点及应用。 现代教学方法与手段: 数字电路网络课程 PowerPoint 复习(提问): 什么是模拟信号模拟电路; 什么是二进制代码。 授课班次: 课时分配:

提纲 第1章绪论 1.1 概述 1 . 1 . 1 数字信号和数字电路 1、数字信号与模似信号 2、模拟电路与数字电路 1 . 1 . 2 数字电路的分类 1、按电路类型分类 2、按集成度分类 3、按半导体的导电类型分类 1 . 1 . 3 数字电路的优点 1、易集成化 2、抗干扰能力强,可靠性高 3、便于长期存贮 4、通用性强,成本低,系列多 5、保密性好 1 .1 .4 脉冲波形的主要参数 1.脉冲幅度Um 2.脉冲上升时间 3.脉冲下降时间 4.脉冲宽度 5.脉冲周期 6.脉冲频率 7.占空比q 1.2 数制和码制 1 . 2 . 1 数制 一、十进制 二、二进制 三、八进制和十六进制 1 . 2 .2 不同数制间的转换 一、各种数制转换成十进制 二、十进制转换为二进制 三、二进制与八进制、十六进制间相互转换 1 . 2 . 3 二进制代码 一、二-十进制代码 8421码、5421码和余3码 二、可靠性代码 1.格雷码 2.奇偶校验码 作业:

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

数字电子技术教学大纲

《数字电子技术》教学大纲 (课程编号2932120 ) (学分 4 学时51 ) 第一部分说明 一、课程的性质与任务 《数字电子技术》是物理教育专业、应用物理专业、教育技术专业的必修技术基础课,该课程不仅具有自身的理论体系而且是一门实践性很强的课程。本课程的任务是使学生掌握数字电子电路的基本工作原理、分析方法和基本实验技能。为深入学习后续课程和从事有关电子技术方面的实际工作打下基础。 二、课程的教学基本要求 1、数字电子技术是发展很快的学科,但其基本理论已经形成了相对稳定的体系,教学上要按照基础知识储量和学科发展增量统筹考虑的原则构建教学内容体系,教学重点放在学习、掌握基本概念、基本分析和设计方法上,并及时跟踪电子技术学科前沿的进展,为学生学习、掌握现代科学技术打下坚实的基础。 2、数字电子技术是实践性很强的技术基础课,在教学安排上将实验课作为课程内实验环节,理论课和实验课同步进行,使理论实际的联系更加密切。综合培养学生看电路图能力、电路分析计算能力、选择设计方案和器件能力、电路设计及调试能力。 三、与其它课程的关系 先修课程为《电磁学》、《模拟电子技术》,本课程为学习后续课程《微机原理与应用》打下必要的基础。 四、课程的教学要求层次 课程教学要求分掌握、理解、了解三个层次。 1. 掌握:要求学生能够深刻理解所学的内容并能够灵活运用。 2. 理解:要求学生能够较好地理解所学内容。 3. 了解:要求学生能够知道所学的有关内容。 五、教学环节设计方案 (一)、学时分配 课程总学时85学时,其中理论课51学时,实验课34学时。学时分配如下:

* 实验课学时分配,见数字电子技术实验教学大纲。 (二)、教学方法 1、主讲式教学方法 基础知识以教师讲授为主,采用主讲式教学方法,目的是引导学生正确认识、理解、掌握课程的基础知识,教会学生分析、研究数字电子电路的方法。 2、讨论式教学方法 拓宽性后续知识、部分习题采用讨论式教学方法,目的是激励学生自主学习,培养学生知识综合、知识应用、知识迁移提高的能力,知识传授和知识能力培养并重。 3、研究式教学方法 提高性知识,采用研究式教学方法,目的是激励和培养学生自学能力、研究问题的能力。 4、答疑、激疑质疑式教学方法 在辅导、答疑的辅助教学环节中,采用答疑的方法解决学生学习过程中的疑难问题,又针对某些问题逐步深入地提问,向学生质疑、激疑,以激发学生对所学知识进行深入探索,牢固掌握知识体系、内在联系,从而提高了学习质量、学习效果。 5、实验环节采用学生预习、审查方案、仿真模拟、讲解示范、指导操作、独立操作等教学方法。 (三)、考试考核方式 教学过程是知识消化、理解和升华的过程,各个教学环节之间是相互影响、相互依存的,各个环节的统一协调,齐抓并重是提高教学质量的根本保证,也是培养学生自主学习能力的必要措施。因此,在教学过程中对学生的学习过程实施全程考核:理论课将完成作业、答疑质疑、习题研究、专题研讨、阶段考核考核情况量化成分值作为本课程的平时成绩。

数字电路基础教案

第7章数字电路基础 【课题】 7.1 概述 【教学目的】 1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。 2.明确该科目的学习重点和学习方法。 【教学重点】 1.电信号的种类和各自的特点。 2.数字信号的表示方法。 3.脉冲波形主要参数的含义及常见脉冲波形。 4.数字电路的特点和优越性。 【教学难点】 数字信号在日常生活中的应用。 【教学方法】 讲授法,讨论法 【参考教学课时】 1课时 【教学过程】 一、新授内容 7.1.1 数字信号与模拟信号 1. 模拟信号:在时间和数值上是连续变化的信号称为模拟信号。 2. 数字信号:在时间和数值上是离散的信号称为数字信号。 讨论:请同学们列举几种常见的数字信号和模拟信号。 7.1.2 脉冲信号及其参数 1. 脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。 2.脉冲的主要参数:脉冲幅值V m 、脉冲上升时间t r 、脉冲下降时间t f 、脉冲宽度t W 、脉冲周期T及占空比D。 7.1.3 数字电路的特点及应用 特点:1.电路结构简单,便于实现数字电路集成化。

2.抗干扰能力强,可靠性高。(例如手机) 3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。 4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机) 5.精度高、功能完备、智能化。(例如数字电视和数码照相机) 应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。 讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。 二、课堂小结 1. 数字信号与模拟信号的概念 2. 脉冲信号及其参数 3. 数字电路的特点及应用 三、课堂思考 讨论:谈谈如何才能学好数字电路课程? 四、课后练习 P143思考与练习题:1、 2、3。 【课题】 7.2 常用数制与编码 【教学目的】 1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。 2.了解8421BCD码的表示形式。 【教学重点】 1.二进制、十六进制数的表示方法。 2.数字电路中为什么广泛采用二、十六进制数。 3.为什么要进行不同数制之间的转换。 4.进行二进制、十进制数、十六进制之间的相互转换。 5. 8421BCD码。 【教学难点】

『数字电路』课程设计指导书

『数字电路』课程设计指导书

『数字电路』课程设计指导书 一、教学目标 (一)课程性质 课程设计。 (二)课程目的 训练学生综合运用学过的数字电路的基本知识,独立设计比较复杂的数字电路的能力。 二、教学内容基本要求及学时分配 (一)课程设计题目 题目见附录I,原则上每人一题。 (二)设计内容 拿到题目后首先进行电路设计。然后在微机上进行原理图输入、编译和软件仿真,如满足设计要求,再进行下载和硬件实验。如硬件实验结果不满足要求,则修改设计,直到满足要求为止。 (三)设计要求 (1)按题目要求的逻辑功能进行设计,电路 2

各个组成部分须有设计说明; (2)必须采用原理图输入法; (3)软件仿真完成后,必须经教师允许方可进行下载; (四)使用的硬件和软件 硬件为可编程逻辑器件EPM7128S;软件为MAX+PLUSII。见附录。 三、主要教学环节 (一)设计安排 1. 课程设计时间为两周,每人一台微机; 2.第1、2天讲授设计需要的硬件和软件、 设计的要求、布置设计题目; 3.第3~8天学生进行设计; 4.第9、10天教师验收,然后学生撰写和 打印设计报告。 (二)指导与答疑 每天都有教师现场答疑,学生有疑难问题可找教师答疑。学时应充分发挥主观能动性,不应过 3

分依赖教师。 (三)设计的考评 设计全部完成后,须经教师验收。验收时学生要讲述自己设计电路的原理、仿真情况,还要演示硬件实验结果。 教师根据学生设计全过程的表现和验收情况给出成绩。 四、课程设计报告的内容和要求 (一)课程设计报告的内容 按附录中给出的报告模板进行编写,用A4纸打印,左侧装订。 (二)课程设计报告编写的基本要求 (1)按设计指导书中要求的格式书写,所有的内容一律打印; (2)报告内容包括设计过程、软件仿真的结果及分析、硬件仿真结果及分析; (3)要有整体电路原理图、各模块原理图; (4) 软件仿真包括各个模块的仿真和整体电路 4

《数字电子技术课程设计》教学大纲

教学大纲 课程名称数字电子技术课程设计课程负责人 开课系部机电工程系 教研室电气自动化 二0一四年四月一日

《数字电子技术课程设计》教学大纲 一、课程基本信息 课程编号: 课程名称:数字电子技术课程设计 英文名称:A Course Design on Digital Electronic Technology 适用专业:电气工程及其自动化类专业 先修课程:电路原理、模拟电子技术、数字电子技术 课程性质:专业基础课 设计周数:1周 学分:1分 二、课程设计的性质、目的和任务 数字电子技术课程设计是电路分析、模拟电子技术、数字电子技术等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。这对于提高学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。数字电子技术课程设计应达到以下目的: (1)加深对所学理论知识的理解,并能将其熟练应用,做到理论与实际相结合; (2)学会查寻资料、方案比较,以及设计计算及制作调试等环节,进一步提高分析解决实际问题的能力; (3)要求学生根据技术指标进行理论设计,并制作调试完成,培养学生分析问题、解决问题的实践能力。 对本次课程设计,原则上指导老师只给出大致的设计要求,在设计思路上不框定和约束同学们的思维,所以同学们可以发挥自己的创造性,并力求设计方案凝练可行、思路独特、效果良好。 三、课程设计的内容 以《电路分析》、《模拟电子技术》和《数字电子技术》等课程中所涉及到的电阻、电容、电感元件、无源滤波电路、变压器、二极管、三极管、场效应管及

基本放大电路、功率放大电路、集成运算放大电路、信号发生器、直流电源、门电路及触发器、小规模集成电路SSI、中规模集成电路MSI为基础,两人一组分工协作、独立设计具有可靠性高及功能明确的实际应用价值的电子电路,最后编写课程设计总结报告。设计内容可参考设计题目,也可根据自身情况自己拟定。 参考题目如下: 1.数字电子钟逻辑电路设计:设计一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间;(如准点报时、定时闹钟等)2.智力竞赛抢答器逻辑电路设计:设计一个可供四组参赛的数字式竞赛抢答器,每组设置一个抢答按钮,要求具有第一抢答信号的鉴别和锁存功能,具有计分及计时功能,设置犯规报警电路。(电路具有鉴别和锁存功能,用数码管显示第一抢答组别且该组别对应指示灯亮,电路的自锁功能,使其余抢答开关不起作用;有主持人开关、有复位功能;增加部分扩展功能(如抢答计时及加分、减分电路等) 3.交通信号灯控制器逻辑电路设计:满足绿灯30秒,黄灯5秒,红灯35秒的时序。采用两位数码显示器显示南北方向时间。 4.汽车尾灯控制电路设计:转向侧的3灯应按全灭、1灯亮、2灯亮、3灯亮得顺序动作,周期性明亮与暗,一周约需一秒;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗;制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮。 5.数字温度计逻辑电路设计:设计一个可以测量温度范围0-800C的数字式温度计,精度± 10C。 6.多路防盗报警电路设计:采用多路输入、同一报警输出方式实现,输入端带延时触发功能,具有显示报警地点功能。 7.电梯控制电路设计:设计一个简易4层电梯控制电路,能记忆电梯内、外的所有请求信号,并按照电梯运行规则按顺序响应,每个信号保留至执行后消失。 8.倒计时计时器的设计:最长记时时间为999秒,有三位数码管显示记数状态。 9.洗衣机控制电路设计: 设计一个洗衣机控制器,具有如下功能:

数字电子技术基础课程教学大纲简介

数字电子技术基础课程教学大纲 英文名称:Digital Electronic Technology Fundamentals 课程编码:04119630 学时:64/12学分:4 课程性质:专业基础课课程类别:理论课 先修课程:高等数学、普通物理、电路理论、模拟电子技术基础 开课学期:第4学期 适用专业:自动化、电气工程及其自动化、工业自动化仪表 一、课程教学目标 通过本课程的理论教学和实验训练,能够运用数字电子技术的基本概念、基本理论与分析方法和设计方法,解决较复杂的数字电路系统相关的工程问题,使学生具备下列能力: 1、使用逻辑代数解决逻辑问题; 1、正确使用数字集成电路; 1、分析和设计数字逻辑电路; 2、正确使用数字逻辑电路系统的辅助电路。 三、课程的基本内容 3.1 理论教学 1、数字逻辑基础(支撑教学目标1) 教学目标:使学生掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式。了解二进制的算术运算与逻辑运算的不同之处。掌握逻辑函数的四种表示方法(真值表法、逻辑式法、卡诺图法及逻辑图法)及其相互之间的转换。理解最小项的概念及其在逻辑函数表示中的应用。掌握逻辑函数的公式化简法和图形化简法。掌握约束项的概念及其在逻辑函数化简中的应用。

本章主要内容: (1)数字信号与数字电路 (2)逻辑代数 (3)逻辑函数及其表示方法 (4)逻辑函数的化简 2、逻辑门电路(支撑教学目标2) 教学目标:使学生了解门电路的定义及分类方法。二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理。理解TTL 反相器的工作原理,掌握其静态特性,了解动态特性。了解其它类型TTL门的工作原理及TTL集成门的系列分类。 本章主要内容: (1)半导体二极管门电路 (2)半导体三极管门电路 (3)TTL集成门电路 3、组合逻辑电路(支撑教学目标3) 教学目标:使学生掌握组合逻辑电路的设计与分析方法。理解常用组合逻辑电路,即编码器、译码器和数据选择器的基本概念、工作原理及应用。掌握译码器和数据选择器在组合电路设计中的应用。 本章主要内容: (1)概述 (2)组合逻辑电路的分析与设计 (3)常用组合逻辑电路 (4)用中规模集成电路设计组合逻辑电路 4、触发器(支撑教学目标3) 教学目标:使学生理解触发器的定义。掌握基本SR触发器、同步触发器、主从触发器、边沿 触发的触发器的动作特点。掌握触发器的各种逻辑功能(DFF,JKFF,SRFF,TFF,T’FF)。掌握触发器 逻辑功能与触发方式的区别。掌握画触发器工作波形的方法。 本章主要内容: (1)概述 (2)基本SR触发器(SR锁存器)和同步触发器(电平触发) (3)主从触发器(脉冲触发)和边沿触发器(边沿触发) (4)触发器的逻辑功能及描述方法 5、时序逻辑电路(支撑教学目标3) 教学目标:使学生掌握时序逻辑电路的定义及同步时序电路的分析与设计方法。了解异步时序电路的概念。理解时序电路各方程组(输出方程组、驱动方程组、状态方程组),状态转换表、状态转换图及时序图在分析和设计时序电路中的重要作用。了解常用时序电路(计数器、移位寄存器)的组成及工作原理及其应用。 本章主要内容: (1)时序电路的基本概念

相关主题
文本预览
相关文档 最新文档