当前位置:文档之家› 数电课后习题第三章答案

数电课后习题第三章答案

数电课后习题第三章答案
数电课后习题第三章答案

(完整版)数字电路与逻辑设计课后习题答案蔡良伟(第三版)

数字电路答案 第一章习题 1-1 (1)10 108222*86*826=+= {{82 010110 262610110== {{2161 6 101100001011016== (2) 210 1081081*85*84*8154=++= {{{82001100 101154154 1101100== {{2166 1101100011011006C C == (3)101 10813.1251*85*81*815.1-=++= {{{82001001 10115.115.1 1101.001== {{2162 1101.0011101.0010.2D D == (4)2101 108131.6252*80*83*85*8203.5-=+++= {{{{82010000011101 203.5203.510000011.101== {{{2168 3 10000011.10110000011.101083.A A == 1-2 (1){{285 5 10110110110155== {{2162 101101001011012D D == 10 810555*85*845=+= (2){{{283 4 5 11100101011100101345== {{2165 11100101111001015E E == 2108103453*84*85*8229=++=

(3){{{285 1 4 101.0011101.001100 5.14== {{2165 3 101.00110101.0011 5.3== 012 8105.145*81*84*8 5.1875--=++= (4){{{287 4 4 100111.101100111.10147.4== {{{2162 7 100111.10100100111.101027.A A == 101 018625.398*58*78*45.47=++=- 1-3 (1)10 810161*86*814=+= {{82001110 16161110== {21611101110E E == (2)210 8101721*87*82*8122=++= {{{82001010 111172172 1111010== {{167 2 7101001111111010 A A == (3)1012 81061.536*81*85*83*849.672--=+++= {{{{82001110101011 61.5361.53110001.101011== {{{{2163 1 110001.10101100110001.1010110031.A C AC == (4)21012 810126.741*82*86*87*84*886.9375--=++++= {{{{{82001010100 110111126.74126.74 1010110.1111== {{{2165 6 1010110.111101010110.111156.F F == 1-4 (1){{ 16200101010 22101010A A == {{285 2 10101010101052== 10 810525*82*842=+=

数电课后习题答案

思考题与习题思考题与习题 第一章 【1-1】(1)(1101)2= (13)10(2)(10111)2=(23)10 (3)(110011)2=(51)10 (4)(11.011)2=(3.375)10 【1-2】(1)(35)10=(100011)2 (2)(168)10 =(10101000)2 (3)(19.85)10=(10011.11011)2 (4)(199)10=(11000111)2 【1-3】(1)(1011011682)()55()AD ==(2)(1110011011682)1()715()CD == (3) (11000111011682 )36()1435()D == (4)(1010101111682)157()527()== 【1-4】答:数字逻辑变量能取“1”,“0”值。它们不代表数量关系,而是代表两种状态,高低电平. 【1-5】答:数字逻辑系统中有“与”,“或”,“非”三种基本运算,“与”指只有决定事件发生的所有的条件都成立,结果才会发生,只要其中有一个条件不成立,结果都不会发生. “与“指只要所有的条件中有一个条件成立,结果就会发生,除非所有的条件都不成立,结果才不会发生. ”非“指条件成立,结果不成立。条件不成立,结果反而成立。 【1-6】答:逻辑函数:指用与,或,非,等运算符号表示函数中各个变量之间逻辑关系的代数式子。 将由真值表写出逻辑函数表达式的方法: 1.在真值表中挑选出所有使函数值为1的变量的取值组合。 2.将每一个选出的变量取值组合对应写成一个由各变量相与的乘积项,在此过程中,如果某变量取值为1,该变量以原变量的形式出现在乘积项中,如果某变量取值为0,则该变量以反变量的形式出现在乘积项中。 3.将所有写出的乘积项相或,即可得到该函数的表达式。 【1-7】答:在n 输入量的逻辑函数中,若m 为包含n 个因式的乘积项,而且这n 个输入变量均以原变量或反变量的形式在m 中出现且仅出现一次,这m 称为该n 变量的一个最小项。 只由最小项组成的表达式称为最小项表达式。 【1-8】将n 个变量的全部最小项各用一个小方块表示,并使具有逻辑相邻性的最小项在几何位置上也相邻地排列起来,所得到的图形称为n 变量的卡诺图。

数字电路与系统设计课后习题答案

(此文档为word格式,下载后您可任意编辑修改!) 1.1将下列各式写成按权展开式: (352.6)10=3×102+5×101+2×100+6×10-1 (101.101)2=1×22+1×20+1×2-1+1×2-3 (54.6)8=5×81+54×80+6×8-1 (13A.4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1.2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 解:分别代表28=256和210=1024个数。 (1750)8=(1000)10 (3E8)16=(1000)10 1.5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 1.6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 解:结果都为(FF)16 1.8转换下列各数,要求转换后保持原精度: (0110.1010)余3循环BCD码=(1.1110)2 1.9用下列代码表示(123)10,(1011.01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011.01)2=(11.25)10=(0001 0001.0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011.01)2=(11.25)10=(0100 0100.0101 1000)余3BCD (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。 A-B=(101011)2=(43)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电路与系统设计课后习题答案

1、1将下列各式写成按权展开式: (352、6)10=3×102+5×101+2×100+6×10-1 (101、101)2=1×22+1×20+1×2-1+1×2-3 (54、6)8=5×81+54×80+6×8-1 (13A、4F)16=1×162+3×161+10×160+4×16-1+15×16-2 1、2按十进制0~17的次序,列表填写出相应的二进制、八进制、十六进制数。 解:略 1、3二进制数00000000~11111111与0000000000~1111111111分别可以代表多少个数?解:分别代表28=256与210=1024个数。 1、4将下列个数分别转换成十进制数:(1111101000)2,(1750)8,(3E8)16 解:(1111101000)2=(1000)10 (1750)8=(1000)10 (3E8)16=(1000)10 1、5将下列各数分别转换为二进制数:(210)8,(136)10,(88)16 解:结果都为:(10001000)2 1、6将下列个数分别转换成八进制数:(111111)2,(63)10,(3F)16 解:结果都为(77)8 1、7将下列个数分别转换成十六进制数:(11111111)2,(377)8,(255)10 解:结果都为(FF)16 1、8转换下列各数,要求转换后保持原精度: 解:(1、125)10=(1、0010000000)10——小数点后至少取10位 (0010 1011 0010)2421BCD=(11111100)2 (0110、1010)余3循环BCD码=(1、1110)2 1、9用下列代码表示(123)10,(1011、01)2: 解:(1)8421BCD码: (123)10=(0001 0010 0011)8421BCD (1011、01)2=(11、25)10=(0001 0001、0010 0101)8421BCD (2)余3 BCD码 (123)10=(0100 0101 0110)余3BCD (1011、01)2=(11、25)10=(0100 0100、0101 1000)余3BCD 1、10已知A=(1011010)2,B=(101111)2,C=(1010100)2,D=(110)2 (1)按二进制运算规律求A+B,A-B,C×D,C÷D, (2)将A、B、C、D转换成十进制数后,求A+B,A-B,C×D,C÷D,并将结果与(1)进行比较。解:(1)A+B=(10001001)2=(137)10 A-B=(101011)2=(43)10 C×D=(111111000)2=(504)10 C÷D=(1110)2=(14)10 (2)A+B=(90)10+(47)10=(137)10 A-B=(90)10-(47)10=(43)10 C×D=(84)10×(6)10=(504)10 C÷D=(84)10÷(6)10=(14)10 两种算法结果相同。 1、11试用8421BCD码完成下列十进制数的运算。 解:(1)5+8=(0101)8421BCD+(1000)8421BCD=1101 +0110=(1 0110)8421BCD=13

数电课后答案

《时序逻辑电路》练习题 [5.1] 分析图P5.8的计数器电路,说明这是多少进制的计数器。十进制计数器74160的功能表见表5.3.4。 [5.2] 分析图P5.9的计数器电路,画出电路的状态转换图,说明这是多少进制的计数器。十六进制计数器74LS161的功能表如表5.3.4所示。 [5.11]试分析图P5.11的计数器在M=1和M=0时各为几进制。74LS160的功能表同上题。 [5.12]图P5.12电路是可变进制计数器。试分析当控制变量A为1和0时电路各为几进制计数器。74LS161的功能表见题5.10。 [5.13]设计一个可控制进制的计数器,当输入控制变量M=0时工作在五进制,M=1

时工作在十五进制。请标出计数输入端和进位输出端。 [解] 见图A5.13。 [5.15]试分析图P5.15计数器电路的分频比(即Y与CP的频率之比)。74LS161的功能表见题5.10。 [解] 利用与上题同样的分析方法,可得74LS161(1)和74LS161(2)的状态转换图如图A5.15(a)、(b)所示。可见,74LS 161(1)为七进制计数器,且每当电路状态由1001~1111时,给74LS 161(2)一个计数脉冲。74LS 161(2)为九进制计数器,计数状态由0111~1111循环。整个电路为63进制计数器,分频比为1:63。 [5.16] 图P5.16电路是由两片同步十进制计数器74160组成的计数器,试分析这是多少进制的计数器,两片之间是几进制。74160的功能表见题5.10。 [解] 第(1)片74160接成十进制计数器,第(2)片74160接成了三进制计数器。第(1)片到第(2)片之间为十进制,两片中串联组成71~90的二十进制计数器。

阎石数字电路课后答案第一章习题答案

第一章 二进制到十六进制、十进制 (1)()2=(97)16=(151)10 (2)(1101101)2=(6D)16=(109)10 (3)2=16=(0.)10 (4)2=16=10 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (2)(127)10=(1111111)2=(7F)16 16 21016210)3.19()1010 1(11001.101(25.7)(4))A D7030.6()0101 0000 0111 1101 0110 (0.0110(0.39)(3) B 用公式化简逻辑函数 (1)Y=A+B (3)Y=1 ) =+(解:1A A 1)2( C B A C C B A C B Y C B A C B A Y AD C C B AD C B C B AD D C A AB D CD B A Y )()(Y )4(解: (5)Y=0 (7)Y=A+CD E ABCD E C ABCD CE AD B BC CE AD B BC Y CE AD B BC B A D C AC Y )()()() ()()6(解: C B A C B C B A A C B A C B A C B A C B C B A A C B A C B A C B A Y C B A C B A C B A Y )() )(())()(() )()((8解:)( D A D A C B Y )9( E BD E D B F E A AD AC Y )10( (a) C B C B A Y (b) C B A ABC Y (c) ACD D C A D C A B A Y D AC B A Y 21, (d) C B A ABC C B A C B A Y BC AC AB Y 21, 1.10 求下列函数的反函数并化简为最简与或式 (1)C B C A Y (2)D C A Y C B C B AC C B AC B A BC AC C A B A BC AC C A B A Y BC AC C A B A Y ))((]))([())(())(()3(解: (4)C B A Y D C AB D C B D C A D C B D A C A C D C B C A D A Y C D C B C A D A Y )() )(())()(()5(解: (6)0 Y 1.11 将函数化简为最小项之和的形式 C B A C B A ABC BC A C B A C B A C B A ABC BC A C B A A C B B A BC A C B AC BC A Y C B AC BC A Y )()()1(解: D C B A CD B A D C B A ABCD BCD A D C B A Y )(2

数电课后习题及答案精修订

数电课后习题及答案 GE GROUP system office room 【GEIHUA16H-GEIHUA GEIHUA8Q8-

题1.1完成下面的数值转换:(1)将二进制数转换成等效的十进制数、八进制数、十六进制数。 ①(0011101) 2②(11011.110) 2 ③() 2 解:①(0011101) 2 =1×24+ 1×23+ 1×22+ 1×20=(29) 10 (0011101) 2 =(0 011 101) 2 = (35) 8 (0011101) 2 =(0001 1101) 2 = (1D) 16 ② (27.75) 10,(33.6) 8 ,(1B.C) 16 ; ③ (439) 10,(667) 8 ,(1B7) 16 ; (2)将十进制数转换成等效的二进制数(小数点后取4位)、八进制数及十六进制 数。①(89) 10②(1800) 10 ③(23.45) 10 解得到:① (1011001) 2,(131) 8 ,(59) 16 ; ② ) 2,(3410) 8 ,(708) 16 ③ (10111.0111) 2,(27.31) 8 ,(17.7) 16 ; (3)求出下列各式的值。①(54.2) 16=() 10 ②(127) 8 =() 16 ③(3AB6) 16=() 4 解① (84.125) 10;② (57) 16 ;③ (3222312) 4 ;

题1.2 写出5位自然二进制码和格雷码。 题1.3 用余3码表示下列各数 ①(8)10 ②(7)10 ③(3)10 解(1)1011;(2)1010;(3)0110 题1.4 直接写出下面函数的对偶函数和反函数。 解 题1.5 证明下面的恒等式相等 1、(AB+C)B=AB+BC=AB ( C+C')+ ( A+A')BC =ABC+ABC'+ABC+ A'BC= ABC+ABC'+ A'BC 2、AB'+B+A'B=A+B+A'B=A+B+B=A+B 3、左=BC+AD,对偶式为(B+C)(A+D)=AB+AC+BD+CD 右=(A+B)(B+D) (A+C)(C+D),对偶式为: AB+AC+BD+CD 对偶式相等,推得左=右。 4、(A+C')(B+D)(B+D')= (A+C')(B+BD+BD')= (A+C')B=AB+BC' 题1.7 在下列各个逻辑函数中,当变量A、B、C为哪些取值组合时,函数Y的值为1。

数电课后答案康华光第五版(完整)

数电课后答案康华光第五版(完整)

第一章数字逻辑习题1.1数字电路与数字信号 1.1.2 图形代表的二进制数 010110100 1.1.4一周期性数字波形如图题所示,试计算:(1)周期;(2)频率;(3)占空比例 MSB LSB 0 1 2 11 12 (ms) 解:因为图题所示为周期性数字波,所以两个相邻的上升沿之间持续的时间为周期,T=10ms 频率为周期的倒数,f=1/T=1/0.01s=100HZ 占空比为高电平脉冲宽度与周期的百分比,q=1ms/10ms*100%=10% 1.2数制 1.2.2将下列十进制数转换为二进制数,八进制数和十六进制数(要求转换误差不大于42 (2)127 (4)2.718 解:(2)(127)D=72-1=(10000000)B-1=(1111111)B=(177)O=(7F)H

(4)(2.718)D=(10.1011)B=(2.54)O=(2.B)H 1.4二进制代码 1.4.1将下列十进制数转换为8421BCD码:(1)43 (3)254.25 解:(43)D=(01000011)BCD 1.4.3试用十六进制写书下列字符繁荣ASCⅡ码的表示:P28 (1)+ (2)@ (3)you (4)43 解:首先查出每个字符所对应的二进制表示的ASCⅡ码,然后将二进制码转换为十六进制数表示。 (1)“+”的ASCⅡ码为0101011,则(00101011)B=(2B)H (2)@的ASCⅡ码为1000000,(01000000)B=(40)H (3)you的ASCⅡ码为本1111001,1101111,1110101,对应的十六进制数分别为79,6F,75 (4)43的ASCⅡ码为0110100,0110011,对应的十六紧张数分别为34,33 1.6逻辑函数及其表示方法 1.6.1在图题1. 6.1中,已知输入信号A,B`的波形,画出各门电路输出L的波形。

数电课后习题及答案

题1.1 完成下面的数值转换: (1)将二进制数转换成等效的十进制数、八进制数、十六进制数。 ①(0011101)2②(11011.110)2③(110110111)2 解:①(0011101)2 =1×24+ 1×23+ 1×22+ 1×20=(29)10 (0011101)2 =(0 011 101)2= (35)8 (0011101)2 =(0001 1101)2= (1D)16 ②(27.75)10,(33.6)8,(1B.C)16; ③(439)10,(667)8,(1B7)16; (2)将十进制数转换成等效的二进制数(小数点后取4位)、八进制数及十六进制数。①(89) ②(1800)10③(23.45)10 10 解得到:①(1011001)2,(131)8,(59)16; ②(11100001000) 2,(3410) 8,(708) 16 ③(10111.0111) 2,(27.31) 8,(17.7) 16; (3)求出下列各式的值。①(54.2)16=()10 ②(127)8=()16 ③(3AB6)16=()4解①(84.125)10;②(57)16;③(3222312)4; 题1.2 写出5位自然二进制码和格雷码。 题1.3 用余3码表示下列各数 ①(8)10 ②(7)10 ③(3)10

解(1)1011;(2)1010;(3)0110 题1.4 直接写出下面函数的对偶函数和反函数。 ()()Y AB C D E C '=++ ()()Y AB A C C D E ''=+++ (())Y A B C D E '''=++++ ()Y A B C A B C '''=++ 解 (1)(())(())(2)()(())()(())(3)(())(())(4)D D D D Y A B C D E C Y A B C D E C Y A B A C C D E Y A B AC C D E Y A BC DE Y A B C D E Y ABC A B C Y A B C A B C '''''''=+++=+++''''''''=+++=+++''''''''''=='''''''=+++=+++,,,, 题1.5 证明下面的恒等式相等 ()()()()()()()()AB C B ABC A BC ABC AB B A B A B BC AD A B B D A C C D A C B D B D AB BC ''+=++''++=++=++++'''+++=+ 1、(AB+C)B=AB+BC=AB ( C+C')+ ( A+A')BC =ABC +ABC'+ABC + A'BC= ABC+ABC'+ A'BC 2、AB'+B+A'B=A+B+A'B=A+B+B=A+B 3、左=BC+AD , 对偶式为(B+C)(A+D)=AB+AC+BD+CD 右=(A+B)(B+D) (A+C)(C+D),对偶式为: AB+AC+BD+CD 对偶式相等,推得左=右。 4、(A+C')(B+D)(B+D')= (A+C')(B+BD+BD')= (A+C')B=AB+BC' 题1.7 在下列各个逻辑函数中,当变量A 、B 、C 为哪些取值组合时,函数Y 的值为1。 Y AB BC A C '=++ Y AB A B C A B ABC '''''=+++ Y AB A B C A B ABC '''''=+++ ()Y AB BC A B '=++ Y=AB+BC+A'C = AB(C+C')+BC (A+A')+A'C(B+B') =m7+m6+m1+m3 使以上四个最小项为1时,Y 为1. 即:111;110;011;001 (2)000,001,011,100 (3)100,101,000,011,010,111 (4)110,111,010 题1.8 列出下面各函数的真值表

数电课后答案康华光

第一章数字逻辑习题 1.1数字电路与数字信号 1.1.2 图形代表的二进制数 010110100 1.1.4一周期性数字波形如图题所示,试计算:(1)周期;(2)频率;(3)占空比例 MSB LSB 0 1 2 11 12 (ms) 解:因为图题所示为周期性数字波,所以两个相邻的上升沿之间持续的时间为周期,T=10ms 频率为周期的倒数,f=1/T=1/0.01s=100HZ 占空比为高电平脉冲宽度与周期的百分比,q=1ms/10ms*100%=10% 1.2数制 2 1.2.2将下列十进制数转换为二进制数,八进制数和十六进制数(要求转换误差不大于4(2)127 (4)2.718 解:(2)(127)D=72-1=(10000000)B-1=(1111111)B=(177)O=(7F)H (4)(2.718)D=(10.1011)B=(2.54)O=(2.B)H 1.4二进制代码 1.4.1将下列十进制数转换为8421BCD码: (1)43 (3)254.25 解:(43)D=(01000011)BCD 1.4.3试用十六进制写书下列字符繁荣ASCⅡ码的表示:P28 (1)+ (2)@ (3)you (4)43 解:首先查出每个字符所对应的二进制表示的ASCⅡ码,然后将二进制码转换为十六进制数表示。 (1)“+”的ASCⅡ码为0101011,则(00101011)B=(2B)H (2)@的ASCⅡ码为1000000,(01000000)B=(40)H (3)you的ASCⅡ码为本1111001,1101111,1110101,对应的十六进制数分别为79,6F,75 (4)43的ASCⅡ码为0110100,0110011,对应的十六紧张数分别为34,33 1.6逻辑函数及其表示方法 1.6.1在图题1. 6.1中,已知输入信号A,B`的波形,画出各门电路输出L的波形。

数电习题及答案

一、时序逻辑电路与组合逻辑电路不同,其电路由组合逻辑电路和存储电路(触发器) 两部分组成。 二、描述同步时序电路有三组方程,分别是 驱动方程、状态方程和输出方程。 三、时序逻辑电路根据触发器的动作特点不同可分为同步时序逻辑电路和异步时序逻辑电 路两大类。 四、试分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态 转换图和时序图。 解:驱动方程:00 110 1 J K J K Q == == 状态方程: 1 00 1 110 10 n n Q Q Q Q Q Q Q + + = =+ 输出方程: 10 Y Q Q =状态图:功能:同步三进制计数器 五、试用触发器和门电路设计一个同步五进制计数器。 解:采用3个D触发器,用状态000到100构成五进制计数器。

(1)状态转换图 (2)状态真值表 (3)求状态方程 (4)驱动方程 (5)逻辑图(略)

[题] 分析图所示的时序电路的逻辑功能,写出电路驱动方程、状态转移方程和输出方程,画出状态转换图,并说明时序电路是否具有自启动性。 解:触发器的驱动方程 20010210 102 11J Q K J Q J Q Q K Q K ====???? ? ? ==??? 触发器的状态方程 120 01 1010112210 n n n Q Q Q Q Q Q Q Q Q Q Q Q +++==+=??????? 输出方程 2Y Q = 状态转换图如图所示 所以该电路的功能是:能自启动的五进制加法计数器。 [题] 试分析图时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,并检查电路能否自启动。

数电课后题答案

1.1.1 一数字信号的波形如图1.1.1所示,试问该波形所代表的二进制数是什么? 解:0101 1010 1.2.1 试按表1.2.1所列的数字集成电路的分类依据,指出下列器件属于何种集成度器件:(1) 微处理器;(2) IC 计算器;(3) IC 加法器;(4) 逻辑门;(5) 4兆位存储器IC 。 解:(1) 微处理器属于超大规模;(2) IC 计算器属于大规模;(3) IC 加法器属于中规模;(4) 逻辑门属于小规模;(5) 4兆位存储器IC 属于甚大规模。 1.3.1 将下列十进制数转换为二进制数、八进制数、十六进制数和8421BCD 码(要求转换误差不大于2-4 ): (1) 43 (2) 127 (3) 254.25 (4) 2.718 解:(1) 43D=101011B=53O=2BH ; 43的BCD 编码为0100 0011BCD 。 (2) 127D=1111111B=177O=7FH ; 127的BCD 编码为0001 0010 0111BCD 。 (3) 254.25D=11111110.01B=376.2O=FE.4H ; 0010 0101 0100.0010 0101BCD 。 (4) 2.718D=10.1011 0111B=2.56O=2.B7H ; 0010.0111 0001 1000BCD 。 1.3.3 将下列每一二进制数转换为十六进制码: (1) 101001B (2) 11.01101B 解:(1) 101001B=29H (2) 11.01101B=3.68H 1.3.4 将下列十进制转换为十六进制数: (1) 500D (2) 59D (3) 0.34D (4) 1002.45D 解:(1) 500D=1F4H (2) 59D=3BH (3) 0.34D=0.570AH (4) 1002.45D=3EA.7333H 1.3.5 将下列十六进制数转换为二进制数: (1) 23F.45H (2) A040.51H 解:(1) 23F.45H=10 0011 1111.0100 0101B (2) A040.51H=1010 0000 0100 0000.0101 0001B 1.3.6 将下列十六进制数转换为十进制数: (1) 103.2H (2) A45D.0BCH 解:(1) 103.2H=259.125D (2) A45D.0BCH=41024.046D 2.4.3 解:(1) LSTTL 驱动同类门 mA I OL 8(max)= mA I IL 4.0(max)= 204.08== mA mA N OL mA I OH 4.0(max)= mA I IH 02.0(max)= 2002.04.0== mA mA N OH N=20 (2) LSTTL 驱动基本TTL 门 mA I OL 8(max)= mA I IL 6.1(max)= 56.18== mA mA N OL mA I OH 4.0(max)= mA I IH 04.0(max)= 1004.04.0== mA mA N OH N=5 2.4.5 解: E D BC AB E D BC AB L +++=???=______________________ ____ 2.6.3 解: B=0时,传输门开通,L=A ; B=1时,传输门关闭,A 相当于经过3个反相器到达输出L ,L=A A B L 0 0 0 0 1 1 1 0 1 1 1 0 所以,B A B A B A L ⊕=+= 2.7.1 解:

数字电子技术课后习题及答案

第二章 2.2 证明下列异或运算公式 (1)A 0A =⊕ 证明: 左侧0A 0A ?+?= A = 得证 (2)A 1A =⊕ 证明: 左侧1A 1A ?+?= A = 得证 (3) 0A A =⊕ 证明: 左侧A A A A ?+?= 0= 得证 (4)A A A =⊕ 证明: 左侧A A A A ?+?= A = 得证 (5)B A B A ⊕=⊕ 证明: 右侧B A B A ?+?= B A B A ?+?= B A ⊕= 得证 (6) )C B (A C )B A (⊕⊕=⊕⊕ 证明: 等式右侧)C B (A ⊕⊕= )C B C B (A +⊕=

)C B C B (A )C B C B (A +++= C B A C B A )C B C B (A ++?= C B A C B A )C B )(C B (A ++++= C B A C B A )C C C B BC B B (A +++++= C B A C B A C B A A B C +++= C )B A AB (C )B A B A (+++= C )B A (C )B A (⊕+⊕= (将看成一个整体)B A (⊕,用M 来表示 C M C M += C M ⊕= 再替换M ,则) C )B A (⊕⊕= 得证 2.3 用逻辑代数法将下列逻辑函数式化简为最简与或表达式 (1)L=AB(BC+A) 解:L=AB(BC+A) =ABC+AB =AB(C+1) =AB (2) L=B B A B A ++ 解:L=B B A B A ++ =B A B A )1(++ =B B A + =B B A ++ A =A+B (3) C B B C B C A A B C A L ++++= 解:C B B C B C A A B C A L ++++=

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电路课后答案--第一单元

1.1 检验学习结果 1、什么是本征激发?什么是复合?少数载流子和多数载流子是如何产生的? 答:由于光照、辐射、温度的影响而产生电子—空穴对的现象称为本征激发;同时进行 的价电子定向连续填补空穴的的现象称为复合。掺入三价杂质元素后,由于空穴数量大大增加而称为多子,自由电子就是少子;掺入五价元素后,由于自由电子数量大大增加而称为多子,空穴就是少子。即多数载流子和少数载流子的概念是因掺杂而形成的。 2、半导体的导电机理和金属导体的导电机理有何区别? 答:金属导体中存在大量的自由电子载流子,因此金属导体导电时只有自由电子一种载流子;而半导体内部既有自由电子载流子又有空穴载流子,在外电场作用下,两种载流子总是同时参与导电,这一点是它与金属导体导电机理的区别。 3、什么是本征半导体?什么是N型半导体?什么是P型半导体? 答:原子排列得非常整齐、结构完全对称的晶体称为本征半导体 .....。本征半导体掺入五价元素后形成N型半导体;掺入三价元素后形成P形半导体。 4、由于N型半导体中多数载流子是电子,因此说这种半导体是带负电的。这种说法正确吗?为什么? 答:这种说法不正确。因为,虽然N型半导体中有多子和少子之分,造成定城的离子带正电,但是整个晶体上的正、负电荷总数在掺杂过程中并没有失去或增加,所以晶体不带电。 5、试述雪崩击穿和齐纳击穿的特点。这两种击穿能否造成PN结的永久损坏? 答:电击穿包括雪崩击穿和齐纳击穿,前者是一种碰撞的击穿,后者属于场效应的击穿,这两种电击穿一般可逆,不会造成PN结的永久损坏。 6、何谓扩散电流?何谓漂移电流?何谓PN结的正向偏置和反向偏置?说说PN结有什么特性? 答:由多子形成的导通电流称扩散电流,由少子形成的电流称为漂移电流;当PN结的阳极P接电源正极,阴极N接电源负极时称为正向偏置,反之为反向偏置;PN结具有“正向导通、反向阻断”的单向导电性。 1.2 检验学习结果 1、何谓死区电压?硅管和锗管死区电压的典型值各为多少?为何会出现死区电压? 答:二极管虽然具有单向导电性,但是当正向电压较小时,由于外加正向电压的电场还不足以克服PN结的内电场对扩散运动的阻挡作用,二极管仍呈现高阻态,所以通过二极管 的正向电流几乎为零,即基本上仍处于截止状态,这段区域通常称为死区 ..。二极管工作在死区时,硅管的死区电压典型值是0.5V,锗管的死区电压典型值是0.1V。 2、为什么二极管的反向电流很小且具有饱和性?当环境温度升高时又会明显增大? 答:由于常温下少数载流子的数量不多,故反向电流很小,而且当外加电压在一定范围内变化时,反向电流几乎不随外加电压的变化而变化,因此反向电流又称为反向饱和电流。当环境温度升高时,受温度影响本征激发和复合运动加剧,因此少子数量增大。

相关主题
文本预览
相关文档 最新文档