当前位置:文档之家› 万年历

万年历

万年历
万年历

课程设计报

徐州师范大学

计算机科学与技术学院

课程设计名称:万年历

1.设计内容:

编写一万年历系统(限最多1人完成)

要求: 模仿现实生活中的挂历.

当前页以系统当前日期的月份为准显示当前月的每一天(显示出日及对应的星期几)。

当系统日期变到下一月时,系统自动翻页到下一月.。

2.设计目的与要求:

2.1设计目的

达到熟练掌握C++语言的基本知识和技能;

基本掌握面向对象程序设计的基本思路和方法;

能够利用所学的基本知识和技能,解决简单的面向对象程序设计问题。2.2设计要求

要求利用面向对象的方法以及C++的编程思想来完成系统的设计;

要求在设计的过程中,建立清晰的类层次;

在系统的设计中,至少要用到面向对象的一种机制。

3.编程环境与基础:

3.1操作系统

Microsoft Windows XP Professional(Service Pack 3)

3.2编程软件

Visual Studio 2008

Microsoft Windows SDK v6.0A

3.3编程语言

标准C++语言

3.4配备的辅助工具

①MSDN Library for Visual Studio 2008 简体中文

②DOS运行窗口(程序执行的窗口)

③Microsoft Word(凡可以打开.doc文档的程序均可,用于查看商品信息)

4.系统分析与设计:

4.1主要知识点

C++中time.h函数的调用,对闰年的判断方法,对每一个月中每一天所处星期的判断方法,以及判断语句、循环语句等一些基本语法的运用。

4.2关键技术

4.2.1 4.2.2设计思想

在设计过程中,调用localtime() 将指定长整型表示的时间转换为我们常用的时间表示法,要用结构tm定义的类型接收。

通过二元数组输出闰年或非闰年时每个月所对应的天数,再通过判断语句、循环语句等判断每个月的每一天所对应的星期

4.3基本功能要求

4.3.1判断所处年份是否为闰年

if(year%400==0 || (year%4==0 && year%100!=0))

4.3.2 判断每一月的第一天为星期几

t = (1+t+t/4-t/100+t/400)%7;

4.3.3设置输出阅历的格式

1988年 4月3日↓

------------------------------

一二三四五六日

1 2 3 4

5 6 7 8 9 10 11

12 13 14 15 16 17 18

19 20 21 22 23 24 25

26 27 28 29 30

------------------------------

5.源程序代码:

#include

#include /*调用系统时间的的头文件声明

using namespace std;

const int

mon[2][12]={31,28,31,30,31,30,31,31,30,31,30,31,31,29,31,30,31,30,31, 31,30,31,30,31};

int Is_Leap(int year)

{

if(year%400==0 || (year%4==0 && year%100!=0)) //可见C语言教材P92

return 1;

else

return 0;

} //此函数判断所处年份是否为闰年

void ShowCalendar(int y,int m,int d)

{

int i=0,j=0,t=0,n=0;

t = y-1;

t = (1+t+t/4-t/100+t/400)%7; //t?当前年?一月一号的星期

for(i=1;i

{

t += mon[n][i-1]; //判断所处的月份锁对应的天数

t %= 7;

}

if(t==0) t = 7; //?算后的t?当前年?当前月?一号的星期

n = Is_Leap(y);

cout<

cout<

cout<<"------------------------------"<

cout<<" 一二三四五六日"<

printf(" ");

for(j=1;j<=mon[n][m-1];j++)

{

printf("%4d",j);

t++;

if(t==8)

{

cout<

t = 1;

}

}

if(t!=1)

cout<

cout<<"------------------------------"<

cout<

}

int main()

{

time_t CurrentTime = time(NULL);

tm *pCurrentMon = localtime(&CurrentTime);

int year,month,day;

year=pCurrentMon->tm_year+1900;

month=pCurrentMon->tm_mon+1;

day=pCurrentMon->tm_mday;

ShowCalendar(year,month,day); // 对系统时间的调用

return 0;

}

6.运行结果:

6.1程序运行时,出现界面

7.设计体会:

本程序在设计过程中遇到许多麻烦,由于采用了功能的封装性,所以在调用过程中应当更加谨慎与仔细。在使用Visual Studio 2008编程软件时,要考虑到许多满足该软件的编程语言特征,在具体语法的使用中更多地参考了MSDN手册,以确保程序的正确性与规范性。由于执行文件操作时的复杂性,我更加小心地去实现操作,尽可能地不出现错误。

在该已成型的“万年历”中,还有很多可以进一步改进的地方,例如合理有效地运用>>重载运算符从文件中读取数据,以便让该系统能够反复操作而不是一个简单的单次操作程序。

8参考书目:

[1]谭浩强,C++面向对象程序设计,北京:清华大学出版社,2009

[2][美]Sara Ford,Visual Studio程序员箴言,北京:人民邮电出版社,2010

[3]韦朴,陈泰生,Visual C++通用范例开发金典,北京:电子工业出版社,2008

C++万年历实验报告

《高级语言程序设计》课程设计报告万年历程序设计

(1)课程设计名称:C++万年历设计 (2)使用工具软件:Microsoft visual C++ (3)课程设计内容简介 1、以开关语句进行执行功能的选择,这些功能分别是: A、判断闰年; B、判断某天是星期几; C、判断某年的隶属生肖; D、输出某年的日历; E、输出某月的日期; F、退出系统; 2、建立类wnl,定义类的成员函数; void isleapyear(); //判断闰年,用于输出判断结果,以流的形式bool isleap(int year); //判断闰年,返回判断结果,用于计算天数int getdays(int year,int month,int day); //获取据第一天天数 void weekdays(int year,int month,int day);//获取星期几 void printyear(int year); //输出年的日历 void printyearmonth(int year,int month); //输出某年某月的日历 void animal(int year); //判断某年的生肖 int getyear(){return Year;} //返回私有成员的值 int getmonth(){return Month;} int getday(){return Day;} 3、定义私有成员:i nt Year,Month,Day; 4、定义类的对象:_wnl; 5、为类的成员函数填写代码,满足功能实现; 6、运行环境:控制台应用程序的源程序; (4)得意之处: 1、可以满足多功能实现,可以循环执行功能; 2、可以选择每行输出的月份数; 3、有欢迎界面; 4、客户输入错误会有提示; 5、使用了C语言的流的形式,判断闰年,提高执行速度; 6、使用I/O流控制头文件iomanip.h控制月份日历的输出,更加整齐;(5)创意的技术实现

闽南风格老黄历的设计

龙源期刊网 https://www.doczj.com/doc/50785612.html, 闽南风格老黄历的设计 作者:龙少婷丘天 来源:《青年时代》2018年第04期 摘要:随着时代的变迁,信息技术越来越好,纸质的老黄历渐渐退出了人们的生活。当 前人们过度依赖手机上的日历,由于手机界面有限,大部分只会显示万年历,往往会忽略掉很多细节。闽南老黄历以怀旧的风格为主题,用插图的形式呈现,让每个画面具有特色,让消费者在浏览中感悟闽南的文化魅力。 关键词:老黄历;闽南;闽南文化 老黄历,又称黄历、皇历,是中国农历基础上产生出来的,带有每日吉凶宜忌的一种万年历。黄历相传是又轩辕黄帝创制,故称为黄历。黄历主要内容为二十四节气,每天的宜忌、干支、值神、星宿、月相、吉神凶煞等。二十四节气中每一个节气都有其特定的含义,气节的名称便已说明这段时间的气象条件及万物之变化。 老黄历是古时帝王遵循的一个行为规范的书籍,并由钦天监计算颁订,因此也成皇历。这里面不但包括了天文气象、时令季节,而且还包含了人民在日常生活中要遵守的一些晋级,其内容指导中国劳动农民耕种时机,故又称农民历,中国民间俗称为通书,但因通书的“书”字跟“输”字同音,因避忌故又名通胜。不论富人还是穷人,不管你是高官还是黎民百姓,都不可避免七灾八难。我国有句俗语:“官问刑,富问灾,平民百姓问发财。”在古人的思想观念中,人们的富贵贫贱、吉凶祸福,以及生老病死、穷富得失乃至经商营利,无不取决于冥冥之中的非人类自身所能把握的命运。 闽南,即指福建的南部,从地域和文化等多方面来说,闽南是指包括厦门、漳州、泉州在内的福建东南沿海地区。说起闽南的特色,第一个便是闽南的建筑,它独特的建筑结构和装饰风格具有极强的地域特色。闽南建筑的屋顶多用红色的筒瓦、板瓦;寺观、祠堂的彩瓷剪粘装饰五彩缤纷,耀眼夺目。我国传统民居通常都使用青砖青瓦,只有闽南沿海地区使用大量红砖红瓦,特别是装饰及色彩纹样在中国建筑史上有它的独特之处,形成了独特的“红砖红瓦文化区”。其建筑从表现形式的角度来说,并没有一种极张扬的、类型化的形式,但它在砖石墙的装饰及美化上有着较为特殊的表现,从审美的角度来看,红砖墙反映着其他地域的风格特征,外墙造型独特,多形成了所謂的闽南风格。在谈到颜色时,红砖白石形成红白相间的墙面视觉效果,而且这种凹凸建立在光线的基础上,并形成一种微妙的韵律。 然而,作为一本合格的老黄历,应做到独特的设计,简单复古的传统日历风格,真正的简约掩盖不住出众的内涵,不需要花枝招展的万紫千红,一样绽放独特的风格。选用耐磨纤维PU材质,日历表皮是纤维PU,耐水耐磨,结实耐用,韧性和透气性、耐磨俱佳。巧妙的设计,封面翻转到后面成为支架,背面附有小磁铁,采用三角形原理,可以形成稳当的桌面立架,装订采用封面翻转就能成为桌面立架,让财富与时间一起“立”足于生活。方便更好安排,

嵌入式系统课程设计题目

嵌入式系统课程设计题目 2016.5 共同要求:在LCD上显示设计题目、设计者名字(主设计者在前) 常用外设引脚: LED:LED0(上边)~LED3(下边)分别接PF5~PF9引脚,低电平点亮 按钮:K0(上边)~K3(下边)分别接PA0、PC13、PA8、PD3引脚,另一端均接地,按下为低电平。 蜂鸣器:蜂鸣器BEEP接PB10引脚,为有源蜂鸣器,PB10输出低电平发声 1、频率计设计 所谓频率计,就是每秒的计数值。使用2个通用定时器,一个计时,另一个对外部信号计数,在LCD 上显示出计数值和频率值。 2、用DAC设计低频信号发生器——硬键盘 使用DAC的模拟输出功能,模拟输出正弦波、方波、三角波信号。 用实验板上的4个按钮(K0~K3)控制输出:K3用于循环选择输出的信号类型,K0、K1、K2用于设置输出的频率,K2用于循环选择输出频率的某个位(个十百千万),K0按钮用于对选中的位加1,K1用于对选中的位减1。 在LCD上显示出频率值和输出信号的波形(曲线)。 3、用DAC设计低频信号发生器——软键盘 使用DAC的模拟输出功能,模拟输出正弦波、方波、三角波信号。 在LCD上设计4个触摸屏按钮(K0~K3)控制输出:K3用于循环选择输出的信号类型,K0、K1、K2用于设置输出的频率,K2用于循环选择输出频率的某个位(个十百千万),K0按钮用于对选中的位加1,K1用于对选中的位减1。 在LCD上显示出频率值和输出信号的波形(曲线)。 4、直流电机控制器设计——硬键盘 直流电机控制原理:理论上转速与电压成正比,用PWM控制则与占空比成正比;旋转方向与绕组电流的方向有关,改变绕组接电源的极性,便可改变电机的转向。 使用通用定时器的比较输出引脚,接直流电机的绕组,改变比较寄存器的值,即改变了占空比,便可调速(可以使用ARM实验箱上的直流电机(有驱动),只需把时钟信号和地线接到直流电机上即可)。 用4个按钮分别控制启动、停止、加速、减速;在LCD上显示出电机的转速等级。 5、直流电机控制器设计——软键盘

电子万年历实验1

电子万年历实验 实验要求: 在LCD (12864或者1602)上显示: 年月日 星期 时间 可以 自由修改显示的 年月日 星期 时间 实验需求: 硬件需求: 1.需要按键做初始化等需求 2.需要LED 作为显示输出。 2需要一款硬件作为实时时钟 器件选型 DS1302 实时时钟芯片,三线接口,带涓流充电。 DS1307 实时时钟芯片,I2C 接口。 DS1337 实时时钟芯片,I2C 接口,带两个日历闹钟。 PCF8563 实时时钟芯片,I2C 接口,带中断输出。 PCF8583 实时时钟芯片,I2C 接口,带中断输出,内置的32.768KHz 振荡器,256个字节RAM ,但性价比较差。

选型结论: LCD:12864 键盘:4*4矩阵键盘 实时时钟芯片:DS1302 结构框图 DS1302 DS1302 是DALLAS 公司推出的涓流充电时钟芯片内含有一个实时时钟/日历和31 字节静态RAM 可通过简单的串行接口与单片机进行通信 可提供: --秒分时日日期月年的信息 --每月的天数和闰年的天数可自动调整 --可通过AM/PM 指示决定采用24 或12 小时格式

--保持数据和时钟信息时功率小于1mW

DS1302引脚 X1 X2 32.768KHz 晶振管脚 GND 地 CE 复位脚 I/O 数据输入/输出引脚 SCLK 串行时钟 Vcc1,Vcc2 电源供电管脚 各引脚的功能为: Vcc1:主电源;Vcc2:备份电源。当Vcc2>Vcc1+0.2V时,由Vcc2向DS1302供电,当Vcc2< Vcc1时,由Vcc1向DS1302供电。SCLK:串行时钟,输入,控制数据的输入与输出; I/O:三线接口时的双向数据线; CE:输入信号,在读、写数据期间,必须为高。该引脚有两个功能:第一,CE开始控制字访问移位寄存器的控制逻辑;其次, CE提供结束单字节或多字节数据传输的方法。

基于单片机的GPS时间校准设计

[请输入学校名称] [请输入专业] 基于单片机的GPS时间校准设计 姓名: [请输入姓名] 学号: [请输入学号] 指导教师:[请输入指导教师] 2017年4月21日

摘要: (3) Abstract (4) 第一章概述 (5) 1.1实时时钟研究的背景及意义 (5) 1.2论文主要研究内容 (5) 1.2.1 系统设计实现的目标 (5) 1.2.2 系统的总体设计 (5) 第二章硬件电路设计 (7) 2.1单片机控制部分 (7) 2.2 DS1302时钟芯片部分 (10) 2.3LCD1602液晶显示部分 (12) 2.5.2 1602引脚功能说明 (12) 2.5.3 1602LCD的指令说明及时序 (13) 2.5.4 1602LCD的RAM地址映射及标准字库表 (14) 2.5.5 1602LCD的一般初始化(复位)过程 (16) 2.4.6 1602LCD的电路连接 (16) 2.4 GPS模块VK2828U7G5LF部分 (16) 第三章软件部分设计 (21) 3.1 按键程序流程图 (23) 结束语 (32) 致谢词 (33) 参考文献 (34) 附录 (35) 硬件原理图与PCB图 (35) 源程序: (36)

摘要: 本文介绍了基于STC89C52单片机的多功能电子万年历的硬件结构和软硬件设计方法。本设计由数据显示模块、GPS模块、时间处理模块和按键输入模块四个模块组成。系统以STC89C52单片机为控制器,以DS1302时钟日历芯片DS1302记录日历和时间,它可以对年、月、日、时、分、秒进行计时,还具有闰年补偿等多种功能。GPS模块采用了VK2828U7G5LF,万年历采用直观的数字显示,数据显示采用1602液晶显示模块,可以在LCD1602上同时显示年、月、日、周日、时、分、秒,和GPS模块的工作模式。此万年历具有读取方便、显示直观、功能多样、电路简洁、成本低廉等诸多优点,具有广阔的市场前景。 关键字:单片机,时钟芯片, 温度传感器, 1602液晶显示器

EDA实训万年历

《EDA技术与应用》实训报告指导教师:

实训题目:数字日历 1. 系统设计 1.1 设计要求 1.1.1 设计任务: 设计一个数字日历电路 1.1.2 技术要求: ⑴用Verilog HDL 语言设计一个数字日历。 ⑵数字日历能正确显示年、月、日、时、分和秒。 ⑶通过开关分别控制对年、月、日或时、分、秒校对及自动切换、,并且运用 按钮对年、月、日或时、分、秒校对。 ⑷通过按钮对数码管全部清零。 ⑸拓展功能:添加时钟闹钟功能,并通过LED管显示。 1.2 方案比较: 由于这次实验用到的仪器是EDA实训仪,数码管个数只有八个,因此不能同时显示年、月、日、时、分、秒。但是实训仪的LED管只有12个,因 此在拓展功能时12 小时做一个周期的整点记时显示。在多次的测试和修改 后,得到此最好方案。 1.3 方案论证 1.3.1 总体思路: 通过EDA S程的学习,可知运用Verilog HDL语言设计一个数字日历 括计时器模块(jsq60、j sq24 )、年月日模块(nyr2009)、控制模块 (conr)、校时选择模块(mux_4、显示选择模块(mux_16和闹钟定时模块 (LED1)、闹钟灯模块(nzd);然后将各个模块连接起来画成原理图再下载 到实训仪上,调节开关及按钮来实现数字日历的功能。 1.3.2 设计方案: 总原理图如下:

2 ?各个模块程序的设计 (1)设计一个分频器进行20MHz分频得到实训所需的1Hz的频率 分析:这是个20MHZ寸钟的分频器,将原来EDA实训仪提供的频率为20MHZ 的时钟转换成频率1HZ的时钟,然后输出到有需要的端口,触发模块起作用。 ⑵分别设计一个能显示年月日和时分秒的程序 校准年月日和秒分时的原理图如下: 分析:①当k=0时,开关j1,j2,j3校秒时分然后将信号分别送到jsq24模块的jm(校秒)、jf (校分)、js (校时)。 ②当k=1时,开关j1,j2,j3校年月日然后将信号分别送到nyr2009模块的jr(校日)、jy (校月)、jn (校年)。 计算年月日的模块如下: FIHI Ilk m ■!:! 下町nr TUT TFT) H'j 31 , mzitc' FIH iOS H<匚; rm c 计七匚― H ?- i-im LTJ rn ?■ FIM Cl | r-NLCs' M'l t Fl处i/l FIW反

二十八星宿日论命吉凶

二十八星宿日论命吉凶 论命及命诀依其星宿可断每日之行事吉凶,逢其星宿所当之日出生之人能断一生吉凶。使用万年历查询当日所属的星宿,再分析讲解即可,也可使用以下对照表。二十八星宿查对表 正月二月三月四月五月六月七月八月九月十月十一十二 初一室奎胄毕参鬼张角氐心斗虚 初二壁娄昴觜井柳翼亢房尾女危 初三奎胄毕参鬼星轸氐心箕虚室 初四娄昴觜井柳张角房尾斗危壁 初五胄毕参鬼星翼亢心箕女室奎 初六昴觜井柳张轸氐尾斗虚壁娄 初七毕参鬼星翼角房箕女危奎胄 初八觜井柳张轸亢心斗虚室娄昴 初九参鬼星翼角氐尾女危壁胄毕 初十井柳张轸亢房箕虚室奎昴觜 十一鬼星翼角氐心斗危壁娄毕参 十二柳张轸亢房尾女室奎胄觜井 十三星翼角氐心箕虚壁娄昴参鬼 十四张轸亢房尾斗危奎胄毕井柳 十五翼角氐心箕女室娄昴觜鬼星 十六轸亢房尾斗虚壁胄毕参柳张 十七角氐心箕女危奎昴觜井星翼 十八亢房尾斗虚室娄毕参鬼张轸 十九氐心箕女危壁胄觜井柳翼角

二十房尾斗虚室奎昴参鬼星轸亢 二一心箕女危壁娄毕井柳张角氐 二二尾斗虚室奎胄觜鬼星翼亢房 二三箕女危壁娄昴参柳张轸氐心 二四斗虚室奎胄毕井星翼角房尾 二五女危壁娄昴觜鬼张轸亢心箕 二六虚室奎胄毕参柳翼角氐尾斗 二七危壁娄昴觜井星轸亢房箕女 二八室奎胄毕参鬼张角氐心斗虚 二九壁娄昴觜井柳翼亢房尾女危 三十奎胄毕参鬼星轸氐心箕虚室 论命的讲解: 【虚】虚日鼠凶.名盖延。虚星造作主灾殃.男女孤眠不一双.内乱风声无礼节.儿孙媳妇伴人床. 开门放水遭灾殃.虎咬蛇伤又卒亡.三三五五连病疾.家破人亡不可当. 忌:开门放水,不论何事,小心退守则吉。 此日出生之人薄福,又好与人争而惹祸,万事要小心谨慎,要注意。 【危】危月燕凶.名坚铎。危星不可造高楼.自遭刑吊见血光.三年孩儿遭水厄.后生出外不还乡. 埋葬若还逢此日.周年百日卧高床.三年五载一悲伤.开门放水到官堂. 宜:出行、纳财。 忌:起造、埋葬、开门、放水,其它要戒慎。 此日出生之人希望可达成,但中途多挫折。 【室】室火猪吉.名耿纯。室星修造进田牛.儿孙代代近王侯.富贵荣华天上至.寿如彭祖八千秋. 开门放水招财帛.和合姻婚生贵儿.埋葬若能依此日.门庭兴旺福无休. 宜:婚礼、移徒、建造、祭祀、掘井。

简单万年历设计

信电学院 电子信息工程专业CDIO一级项目项目设计说明书(2013/2014学年第一学期) 项目名称:简单万年历的设计 专业班级:电子信息工程 学生姓名: 学号: 指导教师: 设计周数:一周 设计成绩: 2013 年12 月29 日

目录 1项目设计目的及任务--------------------------------------------------------------2 2 设计方案--------------------------------------------------------------2 3 电路仿真与分析--------------------------------------------------------------9 4项目设计心得-------------------------------------------------------------17 5参考文献-------------------------------------------------------------17

1.项目设计目的及任务 用51单片机或52单片机为主体,设计并制作一台数字万年历。基本要求有时钟显示(时/分/秒);日期、星期显示,可设定月份;上电即可显示当前系统的时间该系统的设计电路是以 AT89C51单片机为核心控制器,其外围电路主要包括时钟模块,液晶模块,和与电脑主机通信模块等。通过 PC 机在 Internet 上同步时间,整个系统使用C语言进行编程,实现其设计的各种功能。输出方式灵活、功耗低、计时准确、性能稳定、维护方便等优点。实用电子时钟是一个时间控制系统,既能作为一般的时间显示器,同时可以根据需要扩展其功能。系统上电自检后,实时实现小时、分钟、秒以及日历的显示等指示信息的显示,通过按键可实现校对时间等功能。 2 设计方案 2.1总方案设计:设计简单万年历一般有三个可行方案,方案一:纯硬件电路系统,各功能采用分离的硬件电路模块实现。用时序逻辑电路实现时钟功能,用555定时器实现闹钟的设定。但这种实现方法可靠性差、控制精度低,灵活性小、线路复杂、安装调试不方便,而且不方便实现对系统的扩展。方案二:用可编程逻辑器件(PLD)实现。这种方案与前一种相比,可靠性增加,同时可以很好的完成时钟的功能。同时这种方案只能选用数码管显示,显示的效果不够理想,无法很好的完成扩展功能的要求。同时,系统的灵活性不够。方案三:采用单片机作为系统的控制核心。随着国内超大规模集成电路的出现,微处理器及其外围芯片有了迅速的发展。集成技术的最新发展之一是将CPU和外围芯片,如程序存储器、数据存储器、并行I/O口、串行I/O口、定时/计数器、中断控制器及其他控制部件集成在一个芯片之中,制成单片计算机。而近年来推出的一些高档单片机还包括有许多特殊功能单元,如A/D、D/A转换器、调制解调器、通信控制器、锁相环、DMA、浮点运算单元、PWM控制输出单元、PWM输出时的死区可编程控制功能等。因此,只要外加一些扩展电路及必要的通道接口就可以构成各种计算机应用系统,如工业流水线控制系统、作为家用电器的主控制器、分布式控制系统的终端节点或作为其主控制节点起中继的作用、数据采集系统、自动测试系统等。我们选用的是方案三。因为单片机的出现,并在各技术领域中得到如此迅猛的发展,与单片机构成计算机应用系统所形成的下述特点有关:

万年历实验报告

篇一:电子万年历实验报告 重庆电力高等专科学校 计算机科学系 实训报告 课程名称 实验名称 班级信息0911 姓名廖林单片机应用技术电子万年历 学号 200903020243 教师任照富 日期 2010-12-28 地点一教七机房一、实训任务: 1、看实训要求,计划出自己实训所要用元件,在网上查找资料。 2、思考仿真原理图,然后画出来(具体i/o口暂时不确定)。 3、在网上找一些程序,然后自己改一些,进行编译。 4、编译无误之后,跟原理图相联系,完成最终的仿真图。 5、进行最后的改写,把程序和仿真原理图确定下来。 6、根据仿真图形,制作出实物图。 7、在实物制作过程中,进行电路检查和最后的调试。 二、实训要求: 要求:能显示阳历的年、月、日、星期、时、分、秒; 能显示阴历的年、月、日; 能进行日期、时间的调整。 扩展:能显示当前温度; 有闹钟功能(至少2个) 选择ds1302时钟芯片,温度传感器采用ds18b20数字温度传感器。 三、实训环境(软件、硬件): 软件:keil protues7.5 硬件:计算机2单片机的应用 四、实训意义:在这快速发展的年代,时间对人们来说是越来越宝贵,在快节奏的生活时,人们往往忘记了时间,一旦遇到重要的事情而忘记了时间,这将会带来很大的损失。因此我们需要一个定时系统来提醒这些忙碌的人,而数字化的钟表给人们带来了极大的方便。由于单片机具有灵活性强、成本低、功耗低、保密性好等特点,所以电子日历时钟一般都以单片机为核心,外加一些外围设备来实现。 电子万年历作为电子类小设计不仅是市场上的宠儿,也是是单片机实验中一个很常用的题目。因为它的有很好的开放性和可发挥性,因此对作者的要求比较高,不仅考察了对单片机的掌握能力更加强调了对单片机扩展的应用。而且在操作的设计上要力求简洁,功能上尽量齐全,显示界面也要出色。所以,电子万年历无论作为竞赛题目还是毕业设计题目都是很有价值。对于这个实验项目,我们还要有一些其他的基本知识掌握和意义:(1)在学习了《数字电子技术》和《单片机原理及接口技术》课程后,为了加 深对理论知识的理解,学习理论知识在实际中的运用,为了培养动手能力和解决实际问题的经验,了解专用时钟芯片ds1302,并会用ds1302芯片开发时钟模块,应用到其他系统中去。熟悉keil和protues软件调试程序和仿真. (2)通过实验提高对单片机的认识; (3)通过实验提高焊接、布局、电路检查能力; (4)通过实验提高软件调试能力; (5)进一步熟悉和掌握单片机的结构及工作原理。

带温度计的万年历

设计课题题目: 带温度计的万年历 一、设计任务与要求 1. 显示准确的北京时间(时、分、秒)及公历日期显示功能(年、月、日); 2. 可通过按键切换年、月、日及时、分、秒的显示状态; 3. 可随时可以调校年、月、日或时、分、秒; 4. 可每次增减一进行时间调节,也可快速增减进行时间调节; 5. 可显示环境温度。 二、系统设计方案 方案一、用主芯片为AT89C51的单片机控制实现,使用单片机内部的定时计数器实现时间的设定,使用按键进行时间的调整和定时,按键有蜂鸣器提示,温度传感器使用DALLAS 公司生产的单总线式数字温度传感器,它具有微型化、低功耗、高性能、抗干扰能力强、易配处理器等优点。显示时间和温度使用数码管显示。 方案二、用主芯片为STC89C52的单片机控制实现,为了满足单片机系统的实时控制的需求,采用实时钟芯片DS1302,使用按键进行时间的调整和定时,温度传感器使用 DS18B20。显示时间和温度使用LCD1602显示。 方案一片内定时器会导致计时节拍的时间误差,当进行年、月、日的日历计时,定时中断误差扥积累就会很大。使用片内定时器进行计时的时候,单片机始终要处于工作状态。才能维持计时时间,一旦停机或进入待机状态,开机后,计时时间就需要重新设定。为了满足单片机系统的实时钟需求,本设计采用的是方案二,系统框图如图2-1所示。 图2-1 三、单元电路分析与设计 1. 原理分析 1.1主控制器 单片机STC89C52 具有低电压供电和体积小等特点,如图3-1所示。

1.2晶振电路 AT89S52引脚XTAL1和XTAL2与晶体振荡器及电容C1、C2按图3-2所示方式连接。晶振、电容C1/C2及片内与非门(作为反馈、放大元件)构成了电容三点式振荡器,振荡信号频率与晶振频率及电容C1、C2的容量有关,但主要由晶振频率决定,范围在0~33MHz 之间,电容C1、C2取值范围在5~30pF 之间。 根据实际情况,本设计晶振选择频率为12MHZ ,电容选择30pF 如图3-2。经计算得单片机工作的机器周期为:12×(1÷12M )=1us 。振荡器的振荡信号从XTAL2端输入到片内的时钟发生器上。时钟发生器是个二分频的触发器,它将振荡器的信号频率fosc 除以2,向CPU 提供两相时的时钟号。 1.3复位电路 时钟电路工作后, 芯片内部开始进行初始复位,如图3-3 。 1.4 LCD 显示电路 显示器是单片机常用的功能单元之一,显示器的工作是由单片机通过显示接口驱动的。本设计采用的是LCD1602显示电路图如图3-4所示。

万年历_开题报告

xxxxx 毕业论文(设计) 开题报告 题目基于年历设计 学院电气工程学院 年级 xxx级 专业电子信息工程 姓名宋飞 学号 2011588693 指导教师欧阳飞 教务处制表 2012年12月10日

一、选题依据 课题来源、选题依据和背景情况;课题研究目的、学术价值或实际应用价值时间对人们来说总是那么宝贵,工作的忙碌和繁杂容易使人忘记当前的时间。然而遇到重大事情的时候,一旦忘记时间,就会给自己和他人带来很大的麻烦。平时我们要求上班准时,约会或召开会议必然要提及时间:火车要准点到达,航班要准点起飞;工业生产中,很多环节都需要用时间来确定工序替换时刻。所以说能随时准确的知道时间并利用时间,是我们生活和工作中必不可少的。 想知道时间,手表当然是一个很好的选择,但是,在忙碌当中,我们还需要个“助理”及时的给我们提醒时间。所以,计时器最好能够拥有个定时系统,随时提醒容易忘记时间的人。最早能够定时、报时的时钟属于机械式钟农,但这种时钟受到机械结构、动力和体积的限制,在功能、性能以及造价上都没办法与电子时钟相比。 电子万年历是采用电子电路实现对时、分、秒进行数字显示的计时装置,广泛应用于个人家庭、车站、码头办公室等公共场所,成为人们日常生活中不可少的必须品。由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时报警、按时自动打铃、定时广播、自动启闭灯箱、通断动力设备、甚至各种定时电气的自动启动等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。单片机开发技术已成为电子信息、电气、通信、自动化、机电一体化等专业技术人员必须掌握的技术。在国内:单片机的学习呈上升趋势,但很多人学习是无头绪,不知道从何入手,行业发展迅速,国内生产技术不断提升。国内企业为了获得更大的投资收益,在生产规模和产品质量上不断提升,开发单片机呈必然趋势。我国生产的电子万年历有很多,总体上来说是研究多功能电子万年历为主,使万年历除了具有原来的时间、日期等基本功能外,还具有闹钟,报警等功能,商家生产的电子万年历更从质量、价格、实用上考虑不断改变电子万年历的设计,使其更有市场。在科技发达的今天,智能化必将是以后的发展趋势,所以开发活和学习单片机是社会发展的必然需求。

万年历课程设计实验报告

百度文库- 让每个人平等地提升自我 黄淮学院 JAVA 课程设计报告 题目:《万年历》课程设计 学院:信息工程学院 专业:计算机科学与技术 班级:计科1101班 指导老师: 二0一三年六月

目录 前言 (2) 1需求分析 (2) 1.1需求分析 (2) 1.2功能设计 (3) 2.概要设计 (3) 2.1程序设计思路 (3) 3.流程图 (5) 4.程序的主要类,和说明: (6) C (17) F (18) G (18) I (19) M (19) S (19) U (20) 类分层结构 (20) 接口分层结构 (21) 前言 Java的前身是Oak,它一开始只是被应用于消费性电子产品中。后来它的开发者们发现它还可以被用于更大范围的Internet上。1995年,Java语言的名字从Oak编程了Java。1997年J2SE1.1发布。1998年J2SE1.2发布,标志Java2的诞生。十多年来,Java编程语言及平台成功地运用在网络计算及移动等各个领域。Java的体系结构由Java语言、Java class、Java API、

Java虚拟机组成。它具有简单、面向对象、健壮、安全、结构中立、可移植和高效能等众多优点。Java支持多线程编程,Java运行时系统在多线程同步方面具有成熟的解决方案。Java的平台标准有Java ME,Java SE和Java EE。Java发展到今天,它的卓越成就及在业界的地位毋庸置疑。目前在众多的支持Java的开发工具中主要的7有Java Development Kit,NetBeans,Jcreator,JBuilder,JDeveloper和Eclipse等。其中Java Development Kit 简称JDK是大多开发工具的基础。以上的每种开发工具都 有优缺点,对于开发者来说,重要的是要根据自己的开发规模、开发内容和软硬件环境等因素来选择一种合适的开发工具。 1需求分析 1.1需求分析 本程序的要求为:1.使用图形用户界面;2.本程序能够实现日期与星期的查询。 1.2功能设计 本程序要构建的万年历程序,其功能有以下几个方面: (1)实现图形界面,通过简单的单击按钮能实现查询日期操作。 (2)能以月历形式显示日期与星期。 (3)提供月份的下拉形式菜单来选择月份。 2.概要设计 2.1程序设计思路 (1)日期类的设计: 设定日期年的方法 public void changeYear(String year){ cal.set(Calendar.YEAR,Integer.parseInt(year)) ; updateCalendar();//每次修改年后,更新日期

万年历生产工艺实训报告

“电子产品综合设计”课程 基于单片机带温度的液晶万年历 设计报告书 \ 目录 1 绪论....................................................................................错误!未定义书签。 2 设计要求及设计框图 (3) 2.1 设计要求 (3) 2.2 设计框图 (3)

3 知识要点..........................................................................错误!未定义书签。 3.1 LMO16L液晶模块 (3) 3.2 基于Proteus ISIS 7的液晶模块仿真 (4) 3.3 时钟芯片DS1302 (10) 3.3.1 DS1302的控制字节 (4) 3.3.2 数据输入输出(I/O) (11) 3.3.3 DS1302的寄存器 (4) 3.4 DS18B20数字温度传感器 (12) 3.4.1技术性能描述 (12) 3.4.2 DS18B20主要的数据部件 (13) 3.4.4 DS18B20的主要特性...............................................错误!未定义书签。 4 生产技术文件 (5) 4.1设计电路图 (5) 4.2技术说明 (6) 4.3技术说明 (7) 4.4元器件明细表 (8) 4.5PCB板工艺流程图 (9) 4.6工艺流程图 (10) 4.7印制板装配图 (11) 4.8印制板工艺说明 (12) 4.9制造工艺 (13) 4.10焊接工艺说明 (14) 4.11工具明细表 (15) 5作业指导书 (16) 5.1人员分配 (17) 5.2岗位操作指导书 (18) 5.3安全职责规程 (19) 5.4附 (20) 6设计总结 (20) 1、绪论 1.1课题背景 现在电子产品制造业的发展日新月异,产品的电路、功能设计和生产工艺在不断提升。电子产品生产的指导思想是按照发展要有新思路、改革要有新突破、开放要有新局面、工

万年历时钟实验报告

万年历时钟设计报告 专业: 年级: 姓名: 学号: 指导老师:

万年历的设计与仿真 一、实验目的; 电子时间显示器现在在任何地方都有涉及到,例如电子表和商场、车站的时间显示等等,所以它是一种既方便又实用的技术,而我们所做的万年历则是在它的基础上做出来的,通过万年历的制作,我们可以进一步了解计数器的使用,了解各个进制之间的转换,以及他的任意进制计数器的构成方法等,并且进一步了解74LS160以及74ls90的性质,以及门电路的使用等。 二、实验要求: A. 设计一个能显示“年月日”、“星期”、“时分秒“的十进制万年历时钟显示器; B. 要求要满足一天24小时,一小时60分,一分60秒; C. 关于显示星期天时,要用8来代替; D. 年月日显示时,要满足大月31天,小月30天,闰年二月29天,平年二月28天; 三、实验器材: ISIS 仿真软件、一些常用逻辑门(与门、非门,或门等); 本实验要用到得芯片有:74ls160 74ls161 74ls160 74ls160: 74ls160是一块十进制上升沿触发计数器如右下图: 其中MR 是异步清零端,LOAD 是同步置数端 CLK 是时钟脉冲输入端;D0、D1、D2、D3是 置数输入端,Q0、Q1、Q2、Q3是计数输出端, RCO 是进位端; 74ls161与74ls160的功能基本相同,74ls160是十进制的,而74ls161是十六进制的。 异步清零端 进位端 同步置数端

置九端 74ls90: 74ls90是一块二—五—十进制计数器其图如下: 其中 2、3端为置零端,6、7端为置九端, CKB 为五进制脉冲输入端,CKA 二进制脉冲输入端, ,Q0、Q1、Q2、Q3是计数输出端; LED 七段显示器: 其功能是将BCD 码以十进制形式显示出来,其图如下: 四、万年历时钟构架图: 万年历时钟显示器需要有显示“年”、“月”、“日”、“星期”、“时”、“分” “秒”的功能,又根据它们之间的进位和置位关系 可知,它们主要有以下各部分组成,其整个电路的 框架图如下图所示: 五进制脉冲输入端 置零端 二进制脉冲输入端

流年流月流日飞星算法和日九星算法

]流年流月流日飞星算法和日九星算法 在我的《电脑医生万年历》里的日九星是和香港出版的《李宪章通胜日历》同;但是我看到好多书上的关于流年流月流日飞星算法;其中日飞星算法有争论。不知那个才是正确的?希望知情者能来帮一下。下面是我从一本书看到的。不知对不对、如大家认为正确我就把它加到《电脑医生万年历》里。 一、流年紫白星起例 歌诀:年上吉星论甲子,逐年星逆中宫取,上中下作三元汇,一上四中七下使; 推法:上元从坎宫起甲子 中元从巽宫起甲子 下元从兑宫起甲子 六十甲子的顺序依宫逆行找出该年的紫白星,然后把该星入中顺行排山掌就可以找出八卦方位的紫白星,以下元甲子为例:下元从兑宫起甲子,即是甲子年为7、乙丑年为6、丙寅年为5、丁卯年为4、戊辰年3……癸未年为6、甲申年为5、今年五黄居中,八方伏吟,五黄所到之处不宜动 二、月紫白星起例 歌诀:子午卯酉八白起 寅申巳亥二黑求 辰戌丑未五黄中 推法: 凡是子午卯酉年的正月为8、二月为7、三月为6、四月为5、……十二月为6。 寅申巳亥年的正月为2、二月为1、三月为9……十二月为9。 辰戌丑未年的正月为5、二月为4、三月为3……十二月为4, 把该月的数入中顺行排山掌即可找出该月八个方位的紫白星。 三、日家紫白星起例 歌诀:日家白法不难求,二十四气六宫周,冬至,雨水及谷雨,阳顺一七四中游,夏至、处暑、霜降后,九三六星逆行求。 求法:

冬至后的第一个甲子日为一白、乙丑日为二黑、丙寅日为三碧,依次…… 雨水后的第一个甲子日为七赤、乙丑日为八白、丙寅日为九紫,依次…… 谷雨后的第一个甲子日为四碧、乙丑日为五黄、丙寅日为六白,依次…… 夏至后的第一个甲子日为九紫、乙丑日为八白,丙寅日为七赤,依次…… 处暑后的第一个甲子日为三碧、乙丑日为2黑,丙寅日为一白,依次…… 霜降后的第一个甲子日为六白、乙丑日为五黄,丙寅日为四绿,依次…… 把该日的星入中在排山掌,按冬至后星顺行,夏至后星逆行,即可找出该日八个方位的紫白星。 四、时家紫白星起例 时家紫白星的求法分冬至后顺行,夏至后逆行。 冬至后: 子午卯酉日子时一白,丑时二黑,寅时三碧,卯时四绿; 辰戌丑未日子时七赤,丑时五黄,寅时六白,卯时七赤; 寅申巳亥日子时七赤,丑时八白,寅时九紫,卯时一白。 把该星入中顺飞九宫即可找出该时八方的紫白星。 夏至后: 子午卯酉日子时起九紫,丑时八白,寅时七赤…… 辰戌丑未日子时起六白,丑时五黄,寅时四绿…… 寅申巳亥日子时起三碧,丑时二黑,寅时一白。 把该星入中逆排即可找出该时八方的紫白星。

钟表维修工

深圳市职业技能鉴定(钟表维修工)考试大纲 1.职业概况 1.1 职业名称:钟表维修工 1.2职业定义:使用专业工具和检测仪器,对机械、电子钟表进行检测、维修、保养的人员。 1.3 职业等级:本大纲共设三个等级:初级、中级、高级。 1.4 适用对象与基本文化程度 (1)适用对象:从事或准备从事本职业的人员。 (2)基本文化程度:初中毕业及以上。 1.5培训期限要求:初级不少于200标准学时;中级不少于200学时;高级不少于220学时。 1.6申报条件: ——初级(具备以下条件之一者) (1)本职业连续工作2年以上。 (2)经维修初级工培训达到标准学时数,并取得结业证书。 ——中级(具备以下条件之一者) (1)连续从事本职业工作7年以上。 (2)取得本职业初级工等级证书后,连续从事本职业工作5年以上。 (3)取得维修初级工等级证书满3年,经维修中级工培训达到标准学时数,并取得结业证书。 (4)取得经教育或劳动保障行政部门审核认定的、以中级技能为培养目标的中等以上职业学校本职业(专业)毕业证书。 ——高级(具备以下条件之一者) (1)取得本职业中级工职业资格证书后,连续从事本职业工作7年以上。 (2)取得本职业中级工职业资格证书后, 连续从事本职业工作4年以上,经本职业高级工培训达到标准学时数,并取得结业证书。 (3)取得经教育或劳动保障行政部门审核认定的、以高级技能为培养目标的高等以上职业学校(含高级技工学校)本职业(专业)毕业证书。 1.7 鉴定方式 分为理论知识考试与技能操作考核。理论知识考试采用闭卷笔试方式,技能操作考核采用现场实际操作方式。理论知识考试和技能操作考核均实行百分制,成绩达到60分以上者为合格。 1.8鉴定时间: 理论知识考试时间:各等级均为120分钟。 技能操作考核时间:初级90分钟,中级、高级110分钟。 1.9考评人员与考生配比: 理论知识考试考评人员与考生配比为1:15,每个标准教室不少于2名考评人员;技能操作考核考评员与考生配比为1:10,且不少于3名考评员。 2.基本要求: 2.1职业道德 2.1.1职业道德基本知识 2.1.2职业守则 (1)遵纪守法,敬业爱岗;遵守行业规定,不弄虚作假。 (2)工作认真负责,自觉履行职责。 (3)文明礼貌,热情待客,全心全意为消费者服务。 (4)刻苦学习,勤奋钻研,不断提高自身素质。 (5)谦虚谨慎,团结协作,主动配合。 (6)遵守操作规程,爱护仪器设备。 2.2基础知识 2.2.1机械传动基础 (1)机械传动原理。 (2)各种传动部件。

电子万年历

河北科技师范学院课程设计说明书 题目: 学院(系): 年级专业: 学号: 学生姓名: 指导教师:

摘要 本设计是电子万年历。具备三个功能:能显示:年、月、日、时、分、秒及星期信息,并具有可调整日期和时间功能。 我选用的是单片机8052来实现电子万年历的功能。该电子万年历能够成功实现时钟运行,调整,显示年月日时分秒及星期,温度等信息。 该电子万年历使用12MHZ晶振与单片机8052相连接,通过软件编程的方法实现了以24小时为一个周期,同时显示小时、分钟和秒的要求。利用单片机定时器及计数器产生定时效果通过编程形成数字钟效果,再利用数码管动态扫描显示单片机内部处理的数据。同时通过端口读入当前外部控制状态来改变程序的不同状态,实现不同功能。 电子万年历设计与制作可采用数字电路实现,也可以采用单片机来完成。若用数字电路完成,所设计的电路相当复杂,大概需要十几片数字集成块,其功能也主要依赖于数字电路的各功能模块的组合来实现,焊接的过程比较复杂,成本也非常高。若用单片机来设计制作完成,由于其功能的实现主要通过软件编程来完成,这样一来就降低了硬件电路的复杂性,从而使得其成本降低,更适合我们大学生自主研发。所以在该设计与制作中我选用了单片机8052,它是低功耗、高性能的CMOS型8位单片机。片内带有4KB的Flash存储器,且允许在系统内改写或用编程器编程。另外, 单片机8052的指令系统和引脚与8051完全兼容,片内有128B 的RAM、32条I/O口线、2个16位定时计数器、5个中断源、一个全双工串行口等。 因此,采用单片机8052原理制作的电子万年历,不仅仅在原理上能够成功实现计时等功能,也更经济,更适用,更符合我们实际生活的需要,对我们大学生来说也更加有用。

基于单片机的万年历时钟设计【文献综述】

毕业设计开题报告 测控技术与仪器 基于单片机的万年历时钟设计 1前言部分 在当代繁忙的工作与生活中,时间与我们每一个人都有非常密切的关系,每个人都受到时间的影响。为了更好的利用我们自己的时间,需要一款灵活、稳定而又功能强大的自动定时控制系统,以规范本单位的作息时间或定时控制一些设备。目前,市面上出现的一些时控设备或功能单一,或使用烦琐,或价格昂贵,总有一些不尽如人意的地方[1]。我们必须对时间有一个度量,因此产生了钟表。钟表的发展是非常迅速的,17 世纪中叶, 由荷兰人C. Huygens来发明的第一个钟摆与以前任何计时装置相比, 摆钟的精确度提高了上百倍,而他随后发明的螺旋平衡弹簧,又进一步提高精度、减小体积, 导致了怀表的出现。然而再好的摆钟,其精度也只能达到每年误差不超过一秒[2]。1939年出现了利用石英晶体振动计时的石英钟, 每天误差只有千分之二秒, 到二次大战后精度提高到30 年才差一秒。很快, 测年的技术又推进到原子层面, 1948 年出现第一台原子钟, 1955年又发明了铯原子钟, 利用Cs133原子的共振频率计时,现在精度已经高达每天只差十亿分之一秒[2]。 从刚开始的机械式钟表到现在普遍用到的数字式钟表,即使现在钟表千奇百怪,但是它们都只是完成一种功能——计时功能,只是工作原理不同而已,在人们的使用过程中,逐渐发现了钟表的功能太单一,无法更大程度上的满足人们的需求。发展到现在人们广泛使用的万年历。万年历在家庭居室、学校、车站和广场使用越来越广泛,给人们的生活、学习、工作带来极大的方便[3]。电子万年历具有信息量大、直观清晰、经济实用等优点,正成为家庭、商场、公共场所等新的消费热点,具有重要的开发价值[4]。随着科技的不断发展,家用电子产品不但种类日益丰富,而且变得更加经济实用,,功能也越来越齐全,除了公历年月、日、时分秒、星期显示及闹铃外,又增加了农历、温度、24节气及l2生肖等显示。甚至还有语音报时等独特功能。再加上造型新颖别致,附带立体动感画面,

万年历实训报告

《EDA技术及其应用》 实 训 报 告 班级电信一班 姓名 xx 学号 xx 指导教师 xx 2012年05月21 日 xxxx学院 10级机械电子工程系

目录 1.1前言 (4) 1.2课题 (4) 1.3 实验的目的及意义 (4) 1.4 实验的内容及要求 (4) 1.5 实验的地点 (4) 1. 6 实验的器材 (4) 1. 7 实验设计思想 (4) 1.8各模块的设计程序和元件介绍 (5) 1.9感想……………………………………………………….

1.1 前言 随着电子技术的不断发展与进步,电子系统的设计方法发生了很大的变化,基于EDA技术的设计方法正成为电子系统设计的主流,EDA技术已成为许多高职高专院校电类专业学生必须掌握的一门重要技术。 此次基于EDA技术的万年历实训,加强了同学们对EDA 工具QuartusII的使用方法、VHDL语言知识、FPGA开发技术的深入理解,通过万年历的功能实现和功能测试,详细介绍QuartusII的完整设计流程,在此基础上,进一步介绍面向复杂模块的使用方法。其中包括年、月、日、时、分、秒以及调整、控制等各个模块的功能实现和仿真测试,进一揭示VHDL语言在语句应用和电路功能描述上的特点及其特殊用法,使我们在很短的时间内快速掌握了EDA这门重要技术。 1.2实验的课题:万年历 1.3实验的目的及意义: (1)掌握EDA技术及FPGA技术的开发流程。 (2)掌握系统设计的方法和层次化设计的方法。 (3)掌握QuartusII软件的使用方法。 (4)掌握VHDL语言的程序设计、编写、编译和错误修改。(5)掌握熟悉EDA实验箱的各个模块 (6)掌握EDA试验箱与pc机的连接、下载和引脚绑定的全过程和

相关主题
文本预览
相关文档 最新文档